$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Interconnect structure formed in porous dielectric material with minimized degradation and electromigration

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/4763
출원번호 US-0134883 (2002-04-29)
발명자 / 주소
  • Lopatin, Sergey
  • Wang, Fei
  • Schonauer, Diana
  • Avanzino, Steven C.
출원인 / 주소
  • Advanced Micro Devices, Inc.
대리인 / 주소
    Choi, Monica H.
인용정보 피인용 횟수 : 287  인용 특허 : 8

초록

For fabricating an interconnect structure within an interconnect opening formed within a porous dielectric material, the interconnect opening is initially formed within a low-K precursor material that is not completely cured. The interconnect opening is then filled with a conductive fill material be

대표청구항

For fabricating an interconnect structure within an interconnect opening formed within a porous dielectric material, the interconnect opening is initially formed within a low-K precursor material that is not completely cured. The interconnect opening is then filled with a conductive fill material be

이 특허에 인용된 특허 (8)

  1. Lopatin Sergey D. ; Cheung Robin W., Apparatus and method of encapsulated copper (Cu) Interconnect formation.
  2. Cheung Robin ; Lopatin Sergey, Fabrication of a via plug having high aspect ratio with a diffusion barrier layer effectively surrounding the via plug.
  3. Lopatin Sergey D., Low resistivity semiconductor barrier layers and manufacturing method therefor.
  4. Sambucetti Carlos Juan ; Rubino Judith Marie ; Edelstein Daniel Charles ; Cabral ; Jr. Cyryl ; Walker George Frederick ; Gaudiello John G ; Wildman Horatio Seymour, Method for forming Co-W-P-Au films.
  5. Tony P. Chiang ; Yu D. Cong ; Peijun Ding ; Jianming Fu ; Howard H. Tang ; Anish Tolia, Method for igniting a plasma in a sputter reactor.
  6. Xu Zheng ; Forster John ; Yao Tse-Yong, Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches.
  7. Lopatin Sergey D. ; Pramanick Shekhar ; Brown Dirk, Semiconductor metalization barrier.
  8. Dubin Valery M. (Cupertino CA) Schacham-Diamand Yosi (Ithaca NY) Zhao Bin (Irvine CA) Vasudev Prahalad K. (Austin TX) Ting Chiu H. (Saratoga CA), Use of cobalt tungsten phosphide as a barrier material for copper metallization.

이 특허를 인용한 특허 (287)

  1. Sinha, Nishant, Activation of oxides for electroless plating.
  2. Sinha, Nishant, Activation of oxides for electroless plating.
  3. Paik,Young J., Adjusting manufacturing process control parameter using updated process threshold derived from uncontrollable error.
  4. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  5. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  6. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  7. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  9. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  10. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  11. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  12. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  13. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  14. Schwarm,Alexander T., Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools.
  15. Chou, Chia-Cheng; Ko, Chung-Chi; Lin, Keng-Chu; Jeng, Shwang-Ming, Automatically adjusting baking process for low-k dielectric material.
  16. Chou, Chia-Cheng; Ko, Chung-Chi; Lin, Keng-Chu; Jeng, Shwang-Ming, Automatically adjusting baking process for low-k dielectric material.
  17. Ivanov, Igor C., Barrier layer configurations and methods for processing microelectronic topographies having barrier layers.
  18. Rozenblat, Avraham; Haimson, Shai; Drori, Rotem; Rotlain, Maor; Horvitz, Dror, Barrier layer for integrated circuit contacts.
  19. Rozenblat, Avraham; Haimson, Shai; Drori, Rotem; Rotlain, Maor; Horvitz, Dror, Barrier layer for integrated circuit contacts.
  20. Yang, Chih-Chao; Nitta, Satya V., Bilayer metal capping layer for interconnect applications.
  21. Yang, Chih-Chao; Nitta, Satya V., Bilayer metal capping layer for interconnect applications.
  22. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  23. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  24. Lubomirsky, Dmitry, Chamber with flow-through source.
  25. Lubomirsky, Dmitry, Chamber with flow-through source.
  26. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  27. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  28. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  29. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  30. Wirth, Alexandra, Compositions for the currentless deposition of ternary materials for use in the semiconductor industry.
  31. Wirth, Alexandra, Compositions for the currentless deposition of ternary materials for use in the semiconductor industry.
  32. Arackaparambil,John F.; Chi,Tom; Chow,Billy; D'Souza,Patrick M.; Hawkins,Parris; Huang,Charles; Jensen,Jett; Krishnamurthy,Badri N.; Kulkarni,Pradeep M.; Kulkarni,Prakash M.; Lin,Wen Fong; Mohan,Shan, Computer integrated manufacturing techniques.
  33. Arackaparambil,John F.; Chi,Tom; Chow,Billy; D'Souza,Patrick M.; Hawkins,Parris; Huang,Charles; Jensen,Jett; Krishnamurthy,Badri N.; Kulkarni,Pradeep M.; Kulkarni,Prakash M.; Lin,Wen Fong; Mohan,Shan, Computer integrated manufacturing techniques.
  34. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  35. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  36. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  37. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  38. Huang, Richard J.; Wang, Pin-Chin C.; Erb, Darrell M., Continuous barrier for interconnect structure formed in porous dielectric material with minimized electromigration.
  39. Paik, Young Joseph, Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life.
  40. Paik,Young Joseph, Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life.
  41. Hues, Steven M.; Lovejoy, Michael L.; Mathew, Varughese, Controlled electroless plating.
  42. Verbunt, Han, Copper bath composition for electroless and/or electrolytic filling of vias and trenches for integrated circuit fabrication.
  43. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  44. Draeger, Nerissa S.; Ray, Gary William, Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles.
  45. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  46. Dubin, Valery M.; Balakrishnan, Sridhar; Bohr, Mark, Designs and methods for conductive bumps.
  47. Dubin, Valery M.; Balakrishnan, Sridhar; Bohr, Mark, Designs and methods for conductive bumps.
  48. Dubin, Valery M.; Balakrishnan, Sridhar; Bohr, Mark, Designs and methods for conductive bumps.
  49. Dubin,Valery M.; Balakrishnan,Sridhar; Bohr,Mark, Designs and methods for conductive bumps.
  50. Lin,Hway Chi; Cheng,Yi Lung; Wang,Chao Hsiung, Device structure having enhanced surface adhesion and failure mode analysis.
  51. Wu, Yiliang; Mahabadi, Hadi K; Ong, Beng S; Smith, Paul F, Device with phase-separated dielectric structure.
  52. Cotte,John M.; McCullough,Kenneth John; Moreau,Wayne Martin; Petrarca,Kevin; Simons,John P.; Taft,Charles J.; Volant,Richard, Dielectric material.
  53. Wu, Yung-Hsu; Lu, Hsin-Hsien; Bao, Tien-I; Shue, Shau-Lin, Dielectric protection layer as a chemical-mechanical polishing stop layer.
  54. Wu, Yiliang; Smith, Paul F, Dielectric structure having lower-k and higher-k materials.
  55. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  56. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  57. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  58. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  59. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  60. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  61. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  62. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  63. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  64. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  65. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  66. Ward, Nicholas A.; Danielson, Richard; Corey, David B., Dynamic control of wafer processing paths in semiconductor manufacturing processes.
  67. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  68. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  69. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  70. Paik, Young Jeen, Dynamic offset and feedback threshold.
  71. Chi, Yueh-Shian; Hawkins, Parris C M; Huang, Charles Q., Dynamic subject information generation in message services of distributed object systems.
  72. Chi,Yueh shian T.; Hawkins,Parris C. M.; Huang,Charles Q., Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility.
  73. Song,Ki Yong; Cho,Sung Hen, Electroless copper plating solution, method of producing the same and electroless copper plating method.
  74. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  75. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  76. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  77. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  78. Kong, Bob; Li, Nanhai, Electroless plating solution and process.
  79. Filippi, Ronald G.; Fitzsimmons, John A.; Kolvenbach, Kevin; Wang, Ping-Chuan, Electromigration immune through-substrate vias.
  80. Filippi, Ronald G.; Fitzsimmons, John A.; Kolvenbach, Kevin; Wang, Ping-Chuan, Electromigration immune through-substrate vias.
  81. Cabral, Jr.,Cyril; Chiras,Stefanie R.; Cooper,Emanuel I.; Deligianni,Hariklia; Kellock,Andrew J.; Rubino,Judith M.; Tsai,Roger Y., Electroplated CoWP composite structures as copper barrier layers.
  82. Cabral, Jr.,Cyril; Chiras,Stefanie R.; Cooper,Emanuel; Deligianni,Hariklia; Kellock,Andrew J.; Rubino,Judith M.; Tsai,Roger Y., Electroplated CoWP composite structures as copper barrier layers.
  83. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  84. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  85. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  86. Krishnamurthy,Badri N.; Hawkins,Parris C. M., Experiment management system, method and medium.
  87. Cooney, III, Edward C; Fitzsimmons, John A; Gambino, Jeffrey P; Luce, Stephen E; McDevitt, Thomas L; Nicholson, Lee M; Stamper, Anthony K, Exposed pore sealing post patterning.
  88. Cooney, III,Edward C.; Fitzsimmons,John A.; Gambino,Jeffrey P.; Luce,Stephen E.; McDevitt,Thomas L.; Nicholson,Lee M.; Stamper,Anthony K., Exposed pore sealing post patterning.
  89. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T.; Prabhu, Gopalakrishna B., Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles.
  90. Shanmugasundram,Arulkumar P.; Schwarm,Alexander T.; Prabhu,Gopalakrishna B., Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles.
  91. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T.; Iliopoulos, Ilias; Parkhomovsky, Alexander; Seamons, Martin J., Feedback control of plasma-enhanced chemical vapor deposition processes.
  92. Paik,Young Joseph, Feedforward and feedback control for conditioning of chemical mechanical polishing pad.
  93. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  94. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  95. Chiras, Stefanie Ruth; Lane, Michael Wayne; Malhotra, Sandra Guy; Mc Feely, Fenton Reed; Rosenberg, Robert; Sambucetti, Carlos Juan; Vereecken, Philippe Mark, Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures.
  96. Furukawa,Toshiharu; Holmes,Steven J.; Horak,David V.; Koburger, III,Charles W., Forming capping layer over metal wire structure using selective atomic layer deposition.
  97. Kloster,Grant M.; O'Brien,Kevin P.; Gracias,David H.; Park,Hyun Mog; Ramachandrarao,Vijayakumar S., Forming thin hard mask over air gap or porous dielectric.
  98. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  99. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  100. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  101. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  102. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  103. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  104. Yu, Chen-Hua; Bao, Tien-I, Hybrid interconnect scheme and methods for forming the same.
  105. Yu, Chen-Hua; Bao, Tien-I, Hybrid interconnect scheme and methods for forming the same.
  106. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  107. Li, Lih-Ping; Lu, Yung-Cheng, Insulating layer having graded densification.
  108. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  109. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  110. Ryan, Errol Todd; Zhang, Xunyuan, Integrated circuits including modified liners and methods for fabricating the same.
  111. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  112. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  113. Shanmugasundram,Arulkumar P.; Schwarm,Alexander T., Integrating tool, module, and fab level control.
  114. Reiss,Terry P.; Shanmugasundram,Arulkumar P.; Schwarm,Alexander T., Integration of fault detection with run-to-run control.
  115. Kanki, Tsuyoshi; Nakata, Yoshihiro; Kobayashi, Yasushi, Interconnection structure and method of forming the same.
  116. Sinha,Nishant, Intermediate semiconductor device having activated oxide-based layer for electroless plating.
  117. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  118. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  119. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  120. Maxson, Jeffery B.; Suwarno-Handayana, Aurelia A.; Ummer, Shamas M.; Giewont, Kenneth J.; Stiffler, Scott Richard, Local metallization and use thereof in semiconductor devices.
  121. Maxson, Jeffery B.; Suwarno-Handayana, Aurelia A.; Ummer, Shamas M.; Giewont, Kenneth J.; Stiffler, Scott Richard, Local metallization and use thereof in semiconductor devices.
  122. Aubel, Oliver; Hasse, Wolfgang; Hommel, Martina; Koerner, Heinrich, Long-term heat-treated integrated circuit arrangements and methods for producing the same.
  123. Brigante,Jeffrey Alan; He,Zhong Xiang; Waterhouse,Barbara Ann; White,Eric Jeffrey, Low cost bonding pad and method of fabricating same.
  124. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  125. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  126. Zhang,Beichao; Liu,Wuping; Hsia,Liang Choo, Metal barrier cap fabrication by polymer lift-off.
  127. Zhang,Beichao; Liu,Wuping; Hsia,Liang Choo, Metal barrier cap fabrication by polymer lift-off.
  128. Wu,Zhen Cheng; Jang,Syun Ming, Metal barrier integrity via use of a novel two step PVD-ALD deposition procedure.
  129. Nopper, Markus; Preusse, Axel; Seidel, Robert, Metal cap layer of increased electrode potential for copper-based metal regions in semiconductor devices.
  130. Beck,Michael, Metal interconnect structure and method.
  131. Adusumilli, Praneet; Reznicek, Alexander; van der Straten, Oscar; Yang, Chih-Chao, Metallic blocking layer for reliable interconnects and contacts.
  132. Adusumilli, Praneet; Reznicek, Alexander; van der Straten, Oscar; Yang, Chih-Chao, Metallic blocking layer for reliable interconnects and contacts.
  133. Masuda, Hideaki; Miyajima, Hideshi; Idaka, Toshiaki, Method for fabricating a semiconductor device.
  134. Shimayama, Tsutomu; Kameshima, Takatoshi; Okamoto, Masaki, Method for fabrication of semiconductor device.
  135. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  136. Kim,Jae hak; Lee,Soo geun; Lee,Kyung woo, Method for forming a metal interconnection layer of a semiconductor device using a modified dual damascene process.
  137. Liou,Huey Chiang; Yueh,Wang, Method for forming an opening for an interconnect structure in a dielectric layer having a photosensitive material.
  138. Cheng,Chin Chang; Dubin,Valery M.; Moon,Peter K., Method for improving selectivity of electroless metal deposition.
  139. Kim,Yu Chang; Kim,Kwang Ok, Method for manufacturing metal line of semiconductor device.
  140. Ohtsuka,Nobuyuki; Furuya,Akira; Ogawa,Shinichi; Okamura,Hiroshi, Method for manufacturing semiconductor device.
  141. Park, Chang Soo, Method for manufacturing semiconductor device having porous low dielectric constant layer formed for insulation between metal lines.
  142. Park, Chang Soo, Method for manufacturing semiconductor device having porous low dielectric constant layer formed for insulation between metal lines.
  143. Kelman, Maxim; Shrinivasan, Krishnan; Wang, Feng; Lu, Victor; Chang, Sean; Lu, Guangquan, Method for reducing stress in porous dielectric films.
  144. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  145. Ivanov, Igor C.; Zhang, Weiguo; Kolics, Artur, Method for strengthening adhesion between dielectric layers formed adjacent to metal layers.
  146. Andreas, Michael T., Method for the post-etch cleaning of multi-level damascene structures having underlying copper metallization.
  147. Golden, Josh H.; Weidman, Timothy; Porshnev, Peter; Sista, Kalyan; Krishnan, Nikhil, Method for treatment of plating solutions.
  148. Schwarm,Alexander T.; Shanmugasundram,Arulkumar P.; Pan,Rong; Hernandez,Manuel; Mohammad,Amna, Method of feedback control of sub-atmospheric chemical vapor deposition processes.
  149. Ko, Jungmin, Method of fin patterning.
  150. Kloster,Grant M.; O'brien,Kevin P.; Goodner,Michael D.; Leu,Jihperng; Gracias,David H.; Rockford,Lee D.; Moon,Peter K.; Barns,Chris E., Method of forming a selectively converted inter-layer dielectric using a porogen material.
  151. McLaughlin, Paul S.; Sankaran, Sujatha; Standaert, Theodorus E., Method of forming an embedded barrier layer for protection from chemical mechanical polishing process.
  152. Park,Sang Kyun, Method of forming copper wiring in semiconductor device.
  153. Ryan, E. Todd; Iacoponi, John A., Method of forming semiconductor devices by microwave curing of low-k dielectric films.
  154. Bartsch, Christin; Fischer, Daniel; Schaller, Matthias, Method of reducing erosion of a metal cap layer during via patterning in semiconductor devices.
  155. Bartsch, Christin; Fischer, Daniel; Schaller, Matthias, Method of reducing erosion of a metal cap layer during via patterning in semiconductor devices.
  156. Yu, Chen Hua; Wang, Ching Ya, Method to create damage-free porous low-k dielectric films and structures resulting therefrom.
  157. Kokotov,Yuri; Entin,Efim; Seror,Jacques; Fisher,Yossi; Sarel,Shalomo; Shanmugasundram,Arulkumar P.; Schwarm,Alexander T.; Paik,Young Jeen, Method, system and medium for controlling manufacture process having multivariate input parameters.
  158. Al Bayati,Amir; Adibi,Babak; Foad,Majeed; Somekh,Sasson, Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements.
  159. Shanmugasundram,Arulkumar P.; Armer,Helen; Schwarm,Alexander T., Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities.
  160. Schwarm,Alexander T.; Shanmugasundram,Arulkumar P.; Seror,Jacques; Kokotov,Yuri; Entin,Efim, Method, system, and medium for handling misrepresentative metrology data within an advanced process control system.
  161. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  162. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  163. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  164. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  165. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  166. Frohberg, Kai; Lepper, Marco; Reiche, Katrin, Methods for forming protection layers on sidewalls of contact etch stop layers.
  167. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  168. Chae, Moosung M.; Ryan, Errol Todd; Licausi, Nicholas Vincent; Witt, Christian; Zhao, Ailian; He, Ming; Lin, Sean X.; Zhang, Xunyuan; Tanwar, Kunaljeet, Methods of self-forming barrier integration with pore stuffed ULK material.
  169. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  170. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  171. Bruce, Robert L.; Dubois, Geraud Jean-Michel; Frot, Theo J.; Volksen, Willi, Overburden removal for pore fill integration approach.
  172. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  173. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  174. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  175. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  176. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  177. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  178. Wu, Yiliang; Mahabadi, Hadi K.; Ong, Beng S.; Smith, Paul F., Phase-separated dielectric structure fabrication process.
  179. Wu, Yiliang; Mahabadi, Hadi K; Ong, Beng S; Smith, Paul F, Phase-separated dielectric structure fabrication process.
  180. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  181. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  182. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  183. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  184. Xu,Chongying; Borovik,Alexander S.; Baum,Thomas H., Porogen material.
  185. Xu,Chongying; Borovik,Alexander S.; Baum,Thomas H., Porogen material.
  186. Yang, Chih Chao; Wong, Keith Kwong Hon; Yang, Haining, Porous and dense hybrid interconnect structure and method of manufacture.
  187. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  188. Lu,Yung Cheng; Chen,Ying Tsung; Wu,Zhen Cheng; Chen,Pi Tsung, Post-ESL porogen burn-out for copper ELK integration.
  189. Lopatin,Sergey; Shanmugasundram,Arulkumar; Emami,Ramin; Fang,Hongbin, Pretreatment for electroless deposition.
  190. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  191. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  192. Paik,Young J., Process control by distinguishing a white noise component of a process variance.
  193. Paik,Young Jeen, Process control by distinguishing a white noise component of a process variance.
  194. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  195. Shih, Chien Hsueh; Yu, Chen Hua, Process for low resistance metal cap.
  196. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  197. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  198. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  199. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  200. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  201. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  202. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  203. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  204. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  205. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  206. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  207. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  208. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  209. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  210. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  211. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  212. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  213. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  214. Weidman,Timothy W., Ruthenium containing layer deposition method.
  215. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  216. Kim,Sun Oo, Sealed pores in low-k material damascene conductive structures.
  217. Kloster,Grant; Wu,Chih I; Morrow,Xiaorong, Sealing porous dielectrics with silane coupling reagents.
  218. Okada,Lynne A.; Tran,Minh Quoc; Wang,Fei; You,Lu, Sealing sidewall pores in low-k dielectrics.
  219. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  220. Andricacos,Panayotis C.; Chen,Shyng Tsong; Cotte,John M.; Deligianni,Hariklia; Krishnan,Mahadevaiyer; Tseng,Wei Tsu; Vereecken,Philippe M., Selective capping of copper wiring.
  221. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  222. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  223. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  224. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  225. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  226. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  227. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  228. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  229. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  230. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  231. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  232. Morgan, Paul A.; Sinha, Nishant, Selective metal deposition over dielectric layers.
  233. Morgan, Paul A; Sinha, Nishant, Selective metal deposition over dielectric layers.
  234. Morgan, Paul A; Sinha, Nishant, Selective metal deposition over dielectric layers.
  235. Padhi,Deenesh; Gandikota,Srinivas; Naik,Mehul; Parikh,Suketu A.; Dixit,Girish A., Selective metal encapsulation schemes.
  236. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  237. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  238. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  239. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  240. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  241. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  242. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  243. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  244. Leu, Jihperng; Kloster, Grant M.; Gracias, David H.; Rockford, Lee D.; Moon, Peter K.; Barns, Chris E., Selectively converted inter-layer dielectric.
  245. Leu,Jihperng; Kloster,Grant M.; Gracias,David H.; Rockford,Lee D.; Moon,Peter K.; Barns,Chris E., Selectively converted inter-layer dielectric.
  246. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  247. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  248. Chao, Cha-Hsin; Chen, Chih-Hao; Tsai, Hsin-Yi, Semiconductor device and method.
  249. Ogawa, Shinichi, Semiconductor device and method for fabricating the same.
  250. Ogawa,Shinichi, Semiconductor device and method for fabricating the same.
  251. Chikaki, Shinichi; Nakayama, Takahiro, Semiconductor device and method of manufacturing semiconductor device.
  252. Frohberg, Kai; Lepper, Marco; Reiche, Katrin, Semiconductor device comprising contact structures with protection layers formed on sidewalls of contact etch stop layers.
  253. Inoue,Hiroaki; Kimura,Norio; Wang,Xinming; Matsumoto,Moriji; Kanayama,Makoto, Semiconductor device, method for manufacturing the same, and plating solution.
  254. Inoue,Hiroaki; Kimura,Norio; Wang,Xinming; Matsumoto,Moriji; Kanayama,Makoto, Semiconductor device, method for manufacturing the same, and plating solution.
  255. Mathew, Varughese; Garcia, Sam S.; Prindle, Christopher M., Semiconductor process and composition for forming a barrier material overlying copper.
  256. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  257. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  258. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  259. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  260. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  261. Besling, Willem Frederik Adrianus, Side wall pore sealing for low-k dielectrics.
  262. Knorr,Andreas; Kastenmeier,Bernd, Sidewall sealing of porous dielectric materials.
  263. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  264. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  265. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  266. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  267. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  268. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  269. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  270. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  271. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  272. Xu, Chongying; Roeder, Jeffrey F.; Baum, Thomas H.; Bilodeau, Steven M.; Battle, Scott; Hunks, William; Chen, Tianniu, Super-dry reagent compositions for formation of ultra low k films.
  273. Wu, Yung-Hsu; Fu, Shih-Kang; Yao, Hsin-Chieh; Lee, Hsiang-Huan; Lee, Chung-Ju; Chen, Hai-Ching; Shue, Shau-Lin, System and method for chemical-mechanical planarization of a metal layer.
  274. Schwarm,Alexander T., System, method, and medium for monitoring performance of an advanced process control system.
  275. Surana,Rahul; Zutshi,Ajoy, Technique for process-qualifying a semiconductor manufacturing tool using metrology data.
  276. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  277. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  278. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  279. Shih, Chien-Hsueh; Shue, Shau-Lin, Transitional interface between metal and dielectric in interconnect structures.
  280. Shih, Chien-Hsueh; Shue, Shau-Lin, Transitional interface between metal and dielectric in interconnect structures.
  281. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  282. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  283. Chen,I I; Bao,Tien I; Cheug,Shwang Ming; Yu,Chen Hua, UV curing of low-k porous dielectrics.
  284. Zhao, Larry; Kolics, Artur; Nalla, Praveen, Using electroless deposition as a metrology tool to highlight contamination, residue, and incomplete via etch.
  285. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  286. van den Hoek, Willibrordus Gerardus Maria; Draeger, Nerissa S.; Humayun, Raashina; Hill, Richard S.; Sun, Jianing; Ray, Gary William, VLSI fabrication processes for introducing pores into dielectric materials.
  287. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트