$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

CVD plasma assisted low dielectric constant films 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/31
  • H01L-021/469
출원번호 US-0580505 (2000-05-25)
발명자 / 주소
  • Cheung, David
  • Yau, Wai-Fan
  • Mandal, Robert R.
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Moser, Patterson & Sheridan
인용정보 피인용 횟수 : 61  인용 특허 : 75

초록

A method and apparatus for depositing a low dielectric constant film by reaction of an organosilane or organosiloxane compound and an oxidizing gas at a low RF power level from 10-250 W. The oxidized organosilane or organosiloxane film has good barrier properties for use as a liner or cap layer adja

대표청구항

A method and apparatus for depositing a low dielectric constant film by reaction of an organosilane or organosiloxane compound and an oxidizing gas at a low RF power level from 10-250 W. The oxidized organosilane or organosiloxane film has good barrier properties for use as a liner or cap layer adja

이 특허에 인용된 특허 (75)

  1. Felts John T. (Alameda CA) Chatham ; III Hood (Fairfield CA) Countrywood Joseph (Napa CA) Nelson Robert J. (Walnut Creek CA), Apparatus for rapid plasma treatments and method.
  2. Cheung David ; Yau Wai-Fan ; Mandal Robert R., CVD plasma assisted low dielectric constant films.
  3. Goel Arvind (Buffalo NY) Bray Donald J. (East Amherst NY) Martin Steven C. (Williamsville NY) Blakely Keith A. (Buffalo NY), Capacitive thin films using diamond-like nanocomposite materials.
  4. Haluska Loren A. (Midland MI) Michael Keith W. (Midland MI) Tarhay Leo (Sanford MI), Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors.
  5. O\Neal Harry E. (San Diego CA) Ring Morey A. (San Diego CA) Martin John G. (El Cajon CA), Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promot.
  6. Mountsier Thomas Weller, Chemical vapor deposition of low density silicon dioxide films.
  7. Jenkins Michael S. (Longton GB2) Simpson Andrew F. (Hesketh Bank GB2) Porter David A. (Birkdale GB2), Coatings on glass.
  8. Farmer Peter H. (Longmeadow MA) Ho Stanley S. (Wilbraham MA) Riek Raymond F. (Wilbraham MA) Woodard Floyd E. (Olivette MO), Composite solar/safety film and laminated window assembly made therefrom.
  9. Jang Syun-Ming (Hsin-Chu TWX) Yu Chen-Hua (Keelung City TWX), Deposit-etch-deposit ozone/teos insulator layer method.
  10. Roberts David A. (Carlsbad CA) Hochberg Arthur K. (Solana Beach CA), Deposition of silicon dioxide films at temperatures as low as 100 degree C. by LPCVD using organodisilane sources.
  11. Hochberg Arthur K. (1037 Santa Queta Solana Beach CA 92075) O\Meara David L. (632 S. Freeman Oceanside CA 92054), Deposition of silicon oxide films using alkylsilane liquid sources.
  12. Lane Andrew P. (Westminster TX) Webb Douglas A. (Allen TX) Frederick Gene R. (Mesquite TX), Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride.
  13. Cohen Stephen A. (Wappingers Falls NY) Edelstein Daniel C. (New Rochelle NY) Grill Alfred (White Plains NY) Paraszczak Jurij R. (Pleasantville NY) Patel Vishnubhai V. (Yorktown NY), Diamond-like carbon for use in VLSI and ULSI interconnect systems.
  14. Dorfman Veniamin (8 Norman Dr. Shoreham NY 11786) Pypkin Boris (Moscow RUX), Diamond-like metallic nanocomposites.
  15. Yu Chen-Hua D. (Allentown PA), Dielectric deposition.
  16. Grill Alfred ; Hummel John Patrick ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Saenger Katherine Lynn, Dual damascene processing for semiconductor chip interconnects.
  17. Takei Tetsuya (Nagahama JPX) Shirai Shigeru (Hikone JPX) Ohtoshi Hirokazu (Nagahama JPX) Okamura Ryuji (Shiga JPX) Takai Yasuyoshi (Nagahama JPX) Katagiri Hiroyuki (Shiga JPX), Electrophotographic light-receiving member.
  18. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  19. Petrmichl Rudolph H. (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian K. (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  20. Petrmichl Rudolph Hugo (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian Kenneth (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  21. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  22. Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Petrmichl Rudolph H. (Center Valley PA) Galvin Norman D. (Easton PA), Ion beam process for deposition of highly abrasion-resistant coatings.
  23. Petrmichl Rudolph Hugo ; Mahoney Leonard Joseph ; Venable III Ray Hays ; Galvin Norman Donald ; Knapp Bradley J. ; Kimock Fred Michael, Ion beam process for deposition of highly wear-resistant optical coatings.
  24. Ravi Tirunelveli S., Low dielectric constant silicon dioxide sandwich layer.
  25. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Low power method of depositing a low k dielectric with organo silane.
  26. Mitchener James C. (Mountain View CA), Low temperature chemical vapor deposition of silicon dioxide films.
  27. Ikeda Yasuo (Tokyo JPX), Method and apparatus for forming silicon oxide film by chemical vapor deposition.
  28. Foo Pang-Dow (Berkeley Heights NJ) Huo Tai-Chan D. (New Providence NJ) Yan Man F. (Berkeley Heights NJ), Method for depositing dielectric layers.
  29. Ishihara Shunichi (Ebina JPX) Hanna Junichi (Yokohama JPX) Shimizu Isamu (Yokohama JPX), Method for forming a deposited film.
  30. Okano Haruo (Tokyo JPX) Noguchi Sadahisa (Tokyo JPX) Sekine Makoto (Yokohama JPX), Method for forming a film on a substrate by activating a reactive gas.
  31. Maeda Kazuo,JPX ; Tokumasu Noboru,JPX ; Yuyama Yoshiaki,JPX, Method for forming a fluorine containing silicon oxide film.
  32. Fukada Takashi,JPX, Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma.
  33. Dorfman Veniamin (8 Norman Dr. Shoreham NY 11786) Pypkin Boris (Moscow SUX), Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films.
  34. Fiordalice Robert W. (Austin TX) Maniar Papu D. (Austin TX) Klein Jeffrey L. (Austin TX), Method for forming inlaid interconnects in a semiconductor device.
  35. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  36. Tsui Bing-Yue,TWX, Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrat.
  37. Clark Terence J. (Princeton NJ) Hanagan Michael J. (Princeton NJ) Cruse Richard W. (Kendall Park NJ) Szalai Veronika A. (Rocky Hill NJ) Rohman Stephen J. (Plainsboro NJ) Mininni Robert M. (Skillman N, Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus.
  38. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  39. Bennett Brian R. (Redford MA) Lorenzo Joseph P. (Stow MA) Vaccaro Kenneth (Medford MA), Method for the deposition of high quality silicon dioxide at low temperature.
  40. Antonelli Joseph A. (Riverton NJ) Lin Tyau-Jeen (Chadds Ford PA) Yang Duck J. (Wilmington DE) Yasuda Hirotsugu (Columbia MO), Method of coating metal using low temperature plasma and electrodeposition.
  41. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  42. Yahiro Kazuyuki (Kawasaki JPX), Method of depositing a reflow SiO2 film.
  43. Kaganowicz Grzegorz (Princeton NJ), Method of depositing a silicon oxide layer.
  44. Havemann Robert H. ; Stoltz Richard A., Method of dual masking for selective gap fill of submicron interconnects.
  45. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Method of forming a plasma polymerized film.
  46. Loboda Mark J. (Midland MI), Method of forming crystalline silicon carbide coatings.
  47. Maeda Kazuo (Tokyo JPX) Tokumasu Noboru (Tokyo JPX) Yuyama Yoshiaki (Tokyo JPX), Method of forming insulating film.
  48. Sato Nobuyoshi (Chiba JPX) Tokunaga Kyoji (Chiba JPX) Katagiri Tomoharu (Chiba JPX) Hashimoto Tsuyoshi (Chiba JPX) Ohta Tomohiro (Chiba JPX), Method of forming interlayer-insulating film using ozone and organic silanes at a pressure above atmospheric.
  49. Foo Pang-Dow (Berkeley Heights NJ) Manocha Ajit S. (Allentown PA) Miner John F. (Piscataway NJ) Pai Chien-Shing (Bridgewater NJ), Method of forming oxide layers by bias ECR plasma deposition.
  50. Lagendijk Andre (Oceanside CA), Method of forming silicon dioxide glass films.
  51. Havemann Robert H. (Garland TX) Jeng Shin-Puu (Plano TX) Gnade Bruce E. (Rowlett TX) Cho Chih-Chen (Richardson TX), Method of making an interconnect structure with an integrated low density dielectric.
  52. Brochot Jean-Pierre (Paris FRX) Sohier Philippe (Liancourt FRX) Ceccaroli Bruno (Svelgen NOX), Method of making coated glass substrates.
  53. Rose Peter ; Lopata Eugene ; Felts John, Method of making low .kappa. dielectric inorganic/organic hybrid films.
  54. Hashimoto Hidetsuna (Kawasaki JPX), Method of manufacturing semiconductor device having multilayer interconnection.
  55. Smits Jacobus W. M. (Eindhoven NLX), Method of providing silicon dioxide layer on a substrate by means of chemical reaction from the vapor phase at a low pre.
  56. Dobson Christopher David,GBX, Method of treating a semi-conductor wafer.
  57. Dobson Christopher David,GBX ; Kiermasz Adrian,GBX, Method of treating a semi-conductor wafer.
  58. Sacher, Edward; Wertheimer, Michael R.; Schreiber, Henry P., Moisture impermeability or organosilicone films.
  59. Dobuzinsky David M. (Hopewell Junction NY) Matsuda Tetsuo (Poughkeepsie NY) Nguyen Son V. (Hopewell Junction NY) Ryan James G. (Newton CT) Shapiro Michael (Beacon NY), PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element.
  60. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, Plasma-enhanced CVD process using TEOS for depositing silicon oxide.
  61. Malba Vincent, Process for 3D chip stacking.
  62. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  63. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  64. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  65. Jain Ajay, Process for forming a semiconductor device.
  66. Chhabra Navjot (Boise ID) Powell Eric A. (Boise ID) Morgan Rodney D. (Boise ID), Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced.
  67. Tsukune Atuhiro (Kawasaki JPX) Furumura Yuji (Kawasaki JPX) Masanobu Hatanaka (Kawasaki JPX), Process for forming silicon oxide film.
  68. Kato Takashi (Kawasaki JPX), Process for forming thin films by plasma CVD for use in the production of semiconductor devices.
  69. Friedt Jean-Marie (Tokyo JPX) Claverie Pierre (Tsuchiura JPX) Perrin Jme (Paris FRX), Process for producing a deposit of an inorganic and amorphous protective coating on an organic polymer substrate.
  70. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Protective film for articles and method.
  71. Cogan Stuart F. (Sudbury MA), Protective overlayer material and electro-optical coating using same.
  72. Heinecke Rudolf A. H. (Harlow GB2) Ojha Suresh M. (Harlow GB2) Llewellyn Ian P. (Harlow GB2), Pulsed plasma process for treating a substrate.
  73. Matsuura Masazumi (Hyogo-ken JPX), Semiconductor device and method of fabricating the same.
  74. Matsuura Masazumi (Hyogo JPX), Semiconductor device comprising an SiOF insulative film.
  75. Wood Thomas E. (Chandler AZ) Hughes Henry G. (Scottsdale AZ), Spin-on glass for use in semiconductor processing.

이 특허를 인용한 특허 (61)

  1. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  2. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  3. Rajagopalan,Nagarajan; Shek,Meiyee; Lee,Albert; Lakshmanan,Annamalai; Xia,Li Qun; Cui,Zhenjiang, Adhesion improvement for low k dielectrics to conductive materials.
  4. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  5. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  6. Cheung,David; Yau,Wai Fan; Mandal,Robert R., CVD plasma assisted low dielectric constant films.
  7. Cho,Seon Mee; Lee,Peter Wai Man; Lang,Chi I; Sugiarto,Dian; Chen,Chen An; Xia,Li Qun; Venkataraman,Shankar; Yieh,Ellie, CVD plasma assisted lower dielectric constant SICOH film.
  8. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds.
  9. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Ted; Yao, Andrew, Enhanced passivation process to protect silicon prior to high dose implant strip.
  10. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  11. Tan,Zhengquan; Li,Dongqing; Zygmunt,Walter, HDP-CVD deposition process for filling high aspect ratio gaps.
  12. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  13. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  14. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  15. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  16. Kapoor, Bikram; Karim, M. Ziaul; Wang, Anchuan, Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology.
  17. Tan, Zhengquan; Li, Dongqing; Zygmunt, Walter; Ishikawa, Tetsuya, Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD.
  18. Huang,Judy H., In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  19. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  20. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  21. Karim,M. Ziaul; Li,DongQing; Byun,Jeong Soo; Pham,Thanh N., In-situ-etch-assisted HDP deposition.
  22. Karim,M. Ziaul; Li,DongQing; Byun,Jeong Soo; Pham,Thanh N., In-situ-etch-assisted HDP deposition using SiF.
  23. Karim, M. Ziaul; Li, DongQing; Byun, Jeong Soo; Pham, Thanh N., In-situ-etch-assisted HDP deposition using SiF4 and hydrogen.
  24. Bjorkman,Claes H.; Yu,Melissa Min; Shan,Hongquing; Cheung,David W.; Yau,Wai Fan; Liu,Kuowei; Chapra,Nasreen Gazala; Yin,Gerald; Moghadam,Farhad K.; Huang,Judy H.; Yost,Dennis; Tang,Betty; Kim,Yunsang, Integrated low k dielectrics and etch stops.
  25. Cheung, David; Li, Ted; Guha, Anirban; Ostrowski, Kirk, Low damage photoresist strip method for low-K dielectrics.
  26. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  27. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  28. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  29. Schroeder, Uwe; Tews, Helmut Horst; McStay, Irene; Hauf, Manfred; Goldbach, Matthias; Sell, Bernhard; Seidl, Harald; Schumann, Dirk; Jammy, Rajarao; Shepard, Jr., Joseph F.; Rousseau, Jean-Marc, Low resistivity deep trench fill for DRAM and EDRAM applications.
  30. Hong, Sukwon; Tran, Toan; Mallick, Abhijit; Liang, Jingmei; Ingle, Nitin K., Low shrinkage dielectric films.
  31. Mungekar, Hemant P.; Wu, Jing; Lee, Young S.; Wang, Anchuan, Low wet etch rate silicon nitride film.
  32. Xia, Li-Qun; Xu, Ping; Yang, Louis; Huang, Tzu-Fang; Zhu, Wen H., Method for depositing a low k dielectric film (K>3.5) for hard mask application.
  33. Daubenspeck, Timothy H.; Landers, William F.; Zupanski-Nielsen, Donna S., Method for fabricating last level copper-to-C4 connection with interfacial cap structure.
  34. Ozawa, Ken, Method for manufacturing semiconductor device and the semiconductor device.
  35. Chen, David L.; Su, Yuh-Jia; Chiu, Eddie Ka Ho; Pozzoli, Maria Paola; Li, Senzi; Colangelo, Giuseppe; Alba, Simone; Petroni, Simona, Method for post-etch cleans.
  36. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide.
  37. Gaillard, Frederic; Xia, Li-Qun; Lim, Tian-Hoe; Yieh, Ellie; Yau, Wai-Fan; Jeng, Shin-Puu; Liu, Kuowei; Lu, Yung-Cheng, Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition.
  38. Gaillard,Frederic; Xia,Li Qun; Lim,Tian Hoe; Yieh,Ellie; Yau,Wai Fan; Jeng,Shin Puu; Liu,Kuowei; Lu,Yung Cheng, Method of decreasing the k value in sioc layer deposited by chemical vapor deposition.
  39. Lee, Ju-Hyung; Xu, Ping; Venkataraman, Shankar; Xia, Li-Qun; Han, Fei; Yieh, Ellie; Nemani, Srinivas D.; Yim, Kangsub; Moghadam, Farhad K.; Sinha, Ashok K.; Zheng, Yi, Method of depositing dielectric materials in damascene applications.
  40. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  41. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low K barrier layers.
  42. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low K films.
  43. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low k barrier layers.
  44. Li,Lihua; Huang,Tzu Fang; Xia,Li Qun, Method of improving stability in low k barrier layers.
  45. Karim, M. Ziaul; Moghadam, Farhad K.; Salimian, Siamak, Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation.
  46. Chen, David; Goto, Haruhiro Harry; Martina, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  47. Chen, David; Goto, Haruhiro Harry; Su, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  48. Mungekar,Hemant P.; Lee,Young S; Vellaikal,Manoj; Greig,Karen; Kapoor,Bikram, Oxygen plasma treatment for enhanced HDP-CVD gapfill.
  49. Thedjoisworo, Bayu Atmaja; Jacobs, Bradley Jon; Berry, Ivan; Cheung, David, Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films.
  50. Goto, Haruhiro Harry; Kalinovski, Ilia; Mohamed, Khalid, Photoresist strip method for low-k dielectrics.
  51. Shaviv, Roey; Ostrowski, Kirk; Cheung, David; Park, Joon; Thedjoisworo, Bayu; Lord, Patrick J., Photoresist strip processes for improved device integrity.
  52. Cheung, David; Ostrowski, Kirk J, Plasma based photoresist removal system for cleaning post ash residue.
  53. Cheung, David; Ostrowski, Kirk J., Plasma based photoresist removal system for cleaning post ash residue.
  54. Cheung, David; Yau, Wai Fan; Mandal, Robert P.; Jeng, Shin Puu; Liu, Kuo Wei; Lu, Yung Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  55. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  56. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  57. Nemani,Srinivas D.; Lee,Young S., Silicon oxide gapfill deposition using liquid precursors.
  58. Goto, Haruhiro Harry; Cheung, David, Simultaneous front side ash and backside clean.
  59. Blonigan,Wendell T.; White,John M.; Bagley,William A., Tunable gas distribution plate assembly.
  60. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Zhao; Yao, Guhua; Guha, Anirban; Ostrowski, Kirk J., Ultra low silicon loss high dose implant strip.
  61. Rasheed,Muhammad M.; Kim,Steven H, Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로