$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Plasma processes for depositing low dielectric constant films 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/31
출원번호 US-0957681 (2001-09-19)
발명자 / 주소
  • Cheung, David
  • Yau, Wai-Fan
  • Mandal, Robert P.
  • Jeng, Shin-Puu
  • Liu, Kuo-Wei
  • Lu, Yung-Cheng
  • Barnes, Michael
  • Willecke, Ralf B.
  • Moghadam, Farhad
  • Ishikawa, Tetsuya
  • Poon, Tze Wing
출원인 / 주소
  • Applied Materials Inc.
대리인 / 주소
    Moser, Patterson & Sheridan
인용정보 피인용 횟수 : 42  인용 특허 : 122

초록

A method and apparatus for depositing a low dielectric constant film by reaction of an organosilicon compound and an oxidizing gas at a constant RF power level from about 10W to about 200W or a pulsed RF power level from about 20W to about 500W. Dissociation of the oxidizing gas can be increased pri

대표청구항

A method and apparatus for depositing a low dielectric constant film by reaction of an organosilicon compound and an oxidizing gas at a constant RF power level from about 10W to about 200W or a pulsed RF power level from about 20W to about 500W. Dissociation of the oxidizing gas can be increased pri

이 특허에 인용된 특허 (122)

  1. David P. Mancini ; Steven M. Smith ; Douglas J. Resnick, Amorphous carbon layer for improved adhesion of photoresist and method of fabrication.
  2. Kao Yeh-Jen ; Chang Fong M. ; Majewski Robert B. ; Parks John ; Wanamaker David ; Wang Yen-Kun, Apparatus and method for depositing low K dielectric materials.
  3. Felts John T. (Alameda CA) Chatham ; III Hood (Fairfield CA) Countrywood Joseph (Napa CA) Nelson Robert J. (Walnut Creek CA), Apparatus for rapid plasma treatments and method.
  4. Inoue Kanji,JPX, Appliance to be implanted, method of collapsing the appliance to be implanted and method of using the appliance to be im.
  5. Cheung David ; Yau Wai-Fan ; Mandal Robert R., CVD plasma assisted low dielectric constant films.
  6. Goel Arvind (Buffalo NY) Bray Donald J. (East Amherst NY) Martin Steven C. (Williamsville NY) Blakely Keith A. (Buffalo NY), Capacitive thin films using diamond-like nanocomposite materials.
  7. Haluska Loren A. (Midland MI) Michael Keith W. (Midland MI) Tarhay Leo (Sanford MI), Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors.
  8. O\Neal Harry E. (San Diego CA) Ring Morey A. (San Diego CA) Martin John G. (El Cajon CA), Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promot.
  9. Mountsier Thomas Weller, Chemical vapor deposition of low density silicon dioxide films.
  10. Mountsier Thomas Weller, Chemical vapor deposition of low density silicon dioxide films.
  11. Jenkins Michael S. (Longton GB2) Simpson Andrew F. (Hesketh Bank GB2) Porter David A. (Birkdale GB2), Coatings on glass.
  12. Wilbur G. Catabay ; Wei-Jen Hsia ; Kai Zhang, Composite low dielectric constant film for integrated circuit structure.
  13. Farmer Peter H. (Longmeadow MA) Ho Stanley S. (Wilbraham MA) Riek Raymond F. (Wilbraham MA) Woodard Floyd E. (Olivette MO), Composite solar/safety film and laminated window assembly made therefrom.
  14. Jang Syun-Ming (Hsin-Chu TWX) Yu Chen-Hua (Keelung City TWX), Deposit-etch-deposit ozone/teos insulator layer method.
  15. Roberts David A. (Carlsbad CA) Hochberg Arthur K. (Solana Beach CA), Deposition of silicon dioxide films at temperatures as low as 100 degree C. by LPCVD using organodisilane sources.
  16. Hochberg Arthur K. (1037 Santa Queta Solana Beach CA 92075) O\Meara David L. (632 S. Freeman Oceanside CA 92054), Deposition of silicon oxide films using alkylsilane liquid sources.
  17. Lane Andrew P. (Westminster TX) Webb Douglas A. (Allen TX) Frederick Gene R. (Mesquite TX), Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride.
  18. Cohen Stephen A. (Wappingers Falls NY) Edelstein Daniel C. (New Rochelle NY) Grill Alfred (White Plains NY) Paraszczak Jurij R. (Pleasantville NY) Patel Vishnubhai V. (Yorktown NY), Diamond-like carbon for use in VLSI and ULSI interconnect systems.
  19. Yu Chen-Hua D. (Allentown PA), Dielectric deposition.
  20. Jen Shu ; Michael E. Thomas, Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD.
  21. Calvin T. Gabriel ; Lynne A. Okada, Dielectric layer with treated top surface forming an etch stop layer and method of making the same.
  22. Fei Wang ; Jerry Cheng ; Simon S. Chan ; Todd Lukanc, Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers.
  23. Alfred Grill ; John Patrick Hummel ; Christopher Vincent Jahnes ; Vishnubhai Vitthalbhai Patel ; Katherine Lynn Saenger, Dual damascene processing for semiconductor chip interconnects.
  24. Grill Alfred ; Hummel John Patrick ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Saenger Katherine Lynn, Dual damascene processing for semiconductor chip interconnects.
  25. Takei Tetsuya (Nagahama JPX) Shirai Shigeru (Hikone JPX) Ohtoshi Hirokazu (Nagahama JPX) Okamura Ryuji (Shiga JPX) Takai Yasuyoshi (Nagahama JPX) Katagiri Hiroyuki (Shiga JPX), Electrophotographic light-receiving member.
  26. Lawrence D. Wong ; Donald Danielson ; Sarah Bowen ; Ebrahim Andideh, Enhanced surface modification of low K carbon-doped oxide.
  27. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  28. Yoshimi Shioya JP; Kouichi Ohira JP; Kazuo Maeda JP; Tomomi Suzuki JP; Hiroshi Ikakura JP; Youichi Yamamoto JP; Yuichiro Kotake JP; Shoji Ohgawara JP; Makoto Kurotobi JP, Fabrication of a semiconductor device with an interlayer insulating film formed from a plasma devoid of an oxidizing agent.
  29. Ronald A. Weimer ; John T. Moore, Films doped with carbon for use in integrated circuit technology.
  30. Farhad K. Moghadam ; David W. Cheung ; Ellie Yieh ; Li-Qun Xia ; Wai-Fan Yau ; Chi-I Lang ; Shin-Puu Jeng TW; Frederic Gaillard FR; Shankar Venkataraman ; Srinivas Nemani, Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound.
  31. Petrmichl Rudolph H. (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian K. (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  32. Petrmichl Rudolph Hugo (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian Kenneth (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  33. Alfred Grill ; Christopher Vincent Jahnes ; Vishnubhai Vitthalbhai Patel ; Laurent Claude Perraud FR, Hydrogenated oxidized silicon carbon material.
  34. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  35. Claes H. Bjorkman ; Min Melissa Yu ; Hongquing Shan ; David W. Cheung ; Wai-Fan Yau ; Kuowei Liu ; Nasreen Gazala Chapra ; Gerald Yin ; Farhad K. Moghadam ; Judy H. Huang ; Dennis Yost ; B, Integrated low K dielectrics and etch stops.
  36. Yang Jingjun ; Forester Lynn ; Choi Dong Kyu,KRX ; Wang Shi-Qing ; Hendricks Neil H., Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation.
  37. Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Petrmichl Rudolph H. (Center Valley PA) Galvin Norman D. (Easton PA), Ion beam process for deposition of highly abrasion-resistant coatings.
  38. Petrmichl Rudolph Hugo ; Mahoney Leonard Joseph ; Venable III Ray Hays ; Galvin Norman Donald ; Knapp Bradley J. ; Kimock Fred Michael, Ion beam process for deposition of highly wear-resistant optical coatings.
  39. Lee Chung J. ; Wang Hui ; Foggiato Giovanni Antonio, Low dielectric constant materials and method.
  40. Ravi Tirunelveli S., Low dielectric constant silicon dioxide sandwich layer.
  41. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Low power method of depositing a low k dielectric with organo silane.
  42. Mitchener James C. (Mountain View CA), Low temperature chemical vapor deposition of silicon dioxide films.
  43. Ikeda Yasuo (Tokyo JPX), Method and apparatus for forming silicon oxide film by chemical vapor deposition.
  44. Darin S. Olson ; Tirunelveli S. Ravi ; Richard S. Swope ; Jerrod Paul Krebs, Method and apparatus for use of hydrogen and silanes in plasma.
  45. Foo Pang-Dow (Berkeley Heights NJ) Huo Tai-Chan D. (New Providence NJ) Yan Man F. (Berkeley Heights NJ), Method for depositing dielectric layers.
  46. Ishihara Shunichi (Ebina JPX) Hanna Junichi (Yokohama JPX) Shimizu Isamu (Yokohama JPX), Method for forming a deposited film.
  47. Okano Haruo (Tokyo JPX) Noguchi Sadahisa (Tokyo JPX) Sekine Makoto (Yokohama JPX), Method for forming a film on a substrate by activating a reactive gas.
  48. Maeda Kazuo,JPX ; Tokumasu Noboru,JPX ; Yuyama Yoshiaki,JPX, Method for forming a fluorine containing silicon oxide film.
  49. Fukada Takashi,JPX, Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma.
  50. Nobuo Matsuki JP, Method for forming film with low dielectric constant on semiconductor substrate.
  51. Fiordalice Robert W. (Austin TX) Maniar Papu D. (Austin TX) Klein Jeffrey L. (Austin TX), Method for forming inlaid interconnects in a semiconductor device.
  52. Kudo Hiroshi,JPX ; Shinohara Rika,JPX, Method for forming insulating film.
  53. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  54. Nobuo Aoi JP, Method for forming interlayer dielectric film.
  55. Tsui Bing-Yue,TWX, Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrat.
  56. Clark Terence J. (Princeton NJ) Hanagan Michael J. (Princeton NJ) Cruse Richard W. (Kendall Park NJ) Szalai Veronika A. (Rocky Hill NJ) Rohman Stephen J. (Plainsboro NJ) Mininni Robert M. (Skillman N, Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus.
  57. Andideh Ebrahim ; Wong Larry, Method for preparing carbon doped oxide insulating layers.
  58. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  59. Loboda Mark Jon ; Seifferly Jeffrey Alan, Method for producing hydrogenated silicon oxycarbide films having low dielectric constant.
  60. Bennett Brian R. (Redford MA) Lorenzo Joseph P. (Stow MA) Vaccaro Kenneth (Medford MA), Method for the deposition of high quality silicon dioxide at low temperature.
  61. Xia Li-qun ; Lim Tian-hoe ; Nguyen Huong Thanh ; Sugiarto Dian, Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber.
  62. Antonelli Joseph A. (Riverton NJ) Lin Tyau-Jeen (Chadds Ford PA) Yang Duck J. (Wilmington DE) Yasuda Hirotsugu (Columbia MO), Method of coating metal using low temperature plasma and electrodeposition.
  63. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  64. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  65. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  66. Yahiro Kazuyuki (Kawasaki JPX), Method of depositing a reflow SiO2 film.
  67. Kaganowicz Grzegorz (Princeton NJ), Method of depositing a silicon oxide layer.
  68. Havemann Robert H. ; Stoltz Richard A., Method of dual masking for selective gap fill of submicron interconnects.
  69. Ebrahim Andideh ; Kevin L. Peterson, Method of forming a carbon doped oxide layer on a substrate.
  70. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Method of forming a plasma polymerized film.
  71. Loboda Mark J. (Midland MI), Method of forming crystalline silicon carbide coatings.
  72. Maeda Kazuo (Tokyo JPX) Tokumasu Noboru (Tokyo JPX) Yuyama Yoshiaki (Tokyo JPX), Method of forming insulating film.
  73. Sugahara Gaku,JPX ; Aoi Nobuo,JPX ; Arai Koji,JPX ; Sawada Kazuyuki,JPX, Method of forming interlayer insulating film.
  74. Sato Nobuyoshi (Chiba JPX) Tokunaga Kyoji (Chiba JPX) Katagiri Tomoharu (Chiba JPX) Hashimoto Tsuyoshi (Chiba JPX) Ohta Tomohiro (Chiba JPX), Method of forming interlayer-insulating film using ozone and organic silanes at a pressure above atmospheric.
  75. Foo Pang-Dow (Berkeley Heights NJ) Manocha Ajit S. (Allentown PA) Miner John F. (Piscataway NJ) Pai Chien-Shing (Bridgewater NJ), Method of forming oxide layers by bias ECR plasma deposition.
  76. Lagendijk Andre (Oceanside CA), Method of forming silicon dioxide glass films.
  77. Yau Wai-Fan ; Cheung David ; Chopra Nasreen Gazala ; Lu Yung-Cheng ; Mandal Robert ; Moghadam Farhad, Method of improving moisture resistance of low dielectric constant films.
  78. Havemann Robert H. (Garland TX) Jeng Shin-Puu (Plano TX) Gnade Bruce E. (Rowlett TX) Cho Chih-Chen (Richardson TX), Method of making an interconnect structure with an integrated low density dielectric.
  79. Brochot Jean-Pierre (Paris FRX) Sohier Philippe (Liancourt FRX) Ceccaroli Bruno (Svelgen NOX), Method of making coated glass substrates.
  80. Rose Peter ; Lopata Eugene ; Felts John, Method of making low .kappa. dielectric inorganic/organic hybrid films.
  81. Hongning Yang ; David Russell Evans ; Sheng Teng Hsu, Method of making low-K carbon doped silicon oxide.
  82. Hashimoto Hidetsuna (Kawasaki JPX), Method of manufacturing semiconductor device having multilayer interconnection.
  83. Yamashita Atsuko,JPX, Method of manufacturing semiconductor device having multilayer wiring structure, with improved version of step of formi.
  84. Smits Jacobus W. M. (Eindhoven NLX), Method of providing silicon dioxide layer on a substrate by means of chemical reaction from the vapor phase at a low pre.
  85. Dobson Christopher David,GBX, Method of treating a semi-conductor wafer.
  86. Dobson Christopher David,GBX ; Kiermasz Adrian,GBX, Method of treating a semi-conductor wafer.
  87. Patrick A. Van Cleemput ; Ravi Kumar Laxman ; Jen Shu ; Michelle T. Schulberg ; Bunsen Nie, Method to deposit SiOCH films with dielectric constant below 3.0.
  88. Ashima B. Chakravarti ; Richard A. Conti ; Chester Dziobkowski ; Thomas Ivers ; Paul Jamison ; Frank Liucci, Methods and materials for depositing films on semiconductor substrates.
  89. Sacher, Edward; Wertheimer, Michael R.; Schreiber, Henry P., Moisture impermeability or organosilicone films.
  90. Hyun-dam Jeong KR; Hee-sook Park KR; Hong-jae Shin KR; Byeong-jun Kim KR, Multi-layered dielectric layer including insulating layer having Si-CH3 bond therein and method for fabricating the same.
  91. Grill Alfred ; Patel Vishnubhai Vitthalbhai ; Gates Stephen McConnell, Multiphase low dielectric constant material.
  92. Alfred Grill ; Vishnubhai Vitthalbhai Patel ; Stephen McConnell Gates, Multiphase low dielectric constant material and method of deposition.
  93. Alfred Grill ; Vishnubhai Vitthalbhai Patel ; Stephen McConnell Gates, Multiphase low dielectric constant material and method of deposition.
  94. Simon Chooi SG; Subhash Gupta SG; Mei-Sheng Zhou SG; Sangki Hong SG, Non-metallic barrier formations for copper damascene type interconnects.
  95. Dobuzinsky David M. (Hopewell Junction NY) Matsuda Tetsuo (Poughkeepsie NY) Nguyen Son V. (Hopewell Junction NY) Ryan James G. (Newton CT) Shapiro Michael (Beacon NY), PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element.
  96. Cheung David ; Yau Wai-Fan ; Mandal Robert P. ; Jeng Shin-Puu ; Liu Kuo-Wei ; Lu Yung-Cheng ; Barnes Michael ; Willecke Ralf B. ; Moghadam Farhad ; Ishikawa Tetsuya ; Poon Tze Wing, Plasma processes for depositing low dielectric constant films.
  97. David Cheung ; Wai-Fan Yau ; Robert P. Mandal ; Shin-Puu Jeng TW; Kuo-Wei Liu ; Yung-Cheng Lu ; Michael Barnes ; Ralf B. Willecke ; Farhad Moghadam ; Tetsuya Ishikawa ; Tze Wing Poon, Plasma processes for depositing low dielectric constant films.
  98. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, Plasma-enhanced CVD process using TEOS for depositing silicon oxide.
  99. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  100. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  101. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  102. Jain Ajay, Process for forming a semiconductor device.
  103. Chhabra Navjot (Boise ID) Powell Eric A. (Boise ID) Morgan Rodney D. (Boise ID), Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced.
  104. Tsukune Atuhiro (Kawasaki JPX) Furumura Yuji (Kawasaki JPX) Masanobu Hatanaka (Kawasaki JPX), Process for forming silicon oxide film.
  105. Kato Takashi (Kawasaki JPX), Process for forming thin films by plasma CVD for use in the production of semiconductor devices.
  106. Friedt Jean-Marie (Tokyo JPX) Claverie Pierre (Tsuchiura JPX) Perrin Jme (Paris FRX), Process for producing a deposit of an inorganic and amorphous protective coating on an organic polymer substrate.
  107. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Protective film for articles and method.
  108. Cogan Stuart F. (Sudbury MA), Protective overlayer material and electro-optical coating using same.
  109. Heinecke Rudolf A. H. (Harlow GB2) Ojha Suresh M. (Harlow GB2) Llewellyn Ian P. (Harlow GB2), Pulsed plasma process for treating a substrate.
  110. Matsuura Masazumi (Hyogo-ken JPX), Semiconductor device and method of fabricating the same.
  111. Yoshimi Shioya JP; Kouichi Ohira JP; Kazuo Maeda JP, Semiconductor device and method of manufacturing the same.
  112. Takashi Yokoyama JP; Tatsuya Usami JP, Semiconductor device and process for producing the same.
  113. Matsuura Masazumi (Hyogo JPX), Semiconductor device comprising an SiOF insulative film.
  114. Matsuura Masazumi,JPX, Semiconductor device organic insulator film.
  115. Nobuo Matsuki JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  116. Nobuo Matsuki JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  117. Nobuo Matsuki JP; Yuichi Naito JP; Yoshinori Morisada JP; Aya Matsunoshita JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  118. Wood Thomas E. (Chandler AZ) Hughes Henry G. (Scottsdale AZ), Spin-on glass for use in semiconductor processing.
  119. Tepman Avi (Cupertino CA) Grunes Howard (Santa Cruz CA) Somekh Sasson (Los Altos Hills CA) Maydan Dan (Los Altos Hills CA), Staged-vacuum wafer processing system and method.
  120. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  121. Angelopoulos, Marie; Babich, Katherina; Grill, Alfred; Halle, Scott David; Mahorowala, Arpan Pravin; Patel, Vishnubhai Vitthalbhai, Tunable vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and applications thereof.
  122. Alfred Grill ; David R. Medeiros ; Vishnubhai V. Patel, Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same.

이 특허를 인용한 특허 (42)

  1. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  2. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  3. Rajagopalan,Nagarajan; Shek,Meiyee; Lee,Albert; Lakshmanan,Annamalai; Xia,Li Qun; Cui,Zhenjiang, Adhesion improvement for low k dielectrics to conductive materials.
  4. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  5. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Ted; Yao, Andrew, Enhanced passivation process to protect silicon prior to high dose implant strip.
  6. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  7. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  8. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  9. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  10. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  11. Cheung, David; Li, Ted; Guha, Anirban; Ostrowski, Kirk, Low damage photoresist strip method for low-K dielectrics.
  12. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  13. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  14. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  15. Loke,Chou San Nelson; Yoshioka,Kanako; Satoh,Kiyoshi, Low-carbon-doped silicon oxide film and damascene structure using same.
  16. Daubenspeck, Timothy H.; Landers, William F.; Zupanski-Nielsen, Donna S., Method for fabricating last level copper-to-C4 connection with interfacial cap structure.
  17. Chen, David L.; Su, Yuh-Jia; Chiu, Eddie Ka Ho; Pozzoli, Maria Paola; Li, Senzi; Colangelo, Giuseppe; Alba, Simone; Petroni, Simona, Method for post-etch cleans.
  18. Saito, Junichi; Kobayashi, Toshihiko; Ogawa, Makoto; Motoki, Akihiro; Kawasaki, Kenichi; Kunishi, Tatsuo, Method for producing ceramic electronic component.
  19. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide.
  20. Lee, Ju-Hyung; Xu, Ping; Venkataraman, Shankar; Xia, Li-Qun; Han, Fei; Yieh, Ellie; Nemani, Srinivas D.; Yim, Kangsub; Moghadam, Farhad K.; Sinha, Ashok K.; Zheng, Yi, Method of depositing dielectric materials in damascene applications.
  21. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  22. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low K barrier layers.
  23. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low k barrier layers.
  24. Li,Lihua; Huang,Tzu Fang; Xia,Li Qun, Method of improving stability in low k barrier layers.
  25. Chen, David; Goto, Haruhiro Harry; Martina, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  26. Chen, David; Goto, Haruhiro Harry; Su, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  27. Bedinger, John; Moore, Michael A.; Hallock, Robert B; Tabatabaie, Kamal; Kazior, Thomas E., Passivation layer for a circuit device and method of manufacture.
  28. Thedjoisworo, Bayu Atmaja; Jacobs, Bradley Jon; Berry, Ivan; Cheung, David, Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films.
  29. Goto, Haruhiro Harry; Kalinovski, Ilia; Mohamed, Khalid, Photoresist strip method for low-k dielectrics.
  30. Shaviv, Roey; Ostrowski, Kirk; Cheung, David; Park, Joon; Thedjoisworo, Bayu; Lord, Patrick J., Photoresist strip processes for improved device integrity.
  31. Cheung, David; Ostrowski, Kirk J, Plasma based photoresist removal system for cleaning post ash residue.
  32. Cheung, David; Ostrowski, Kirk J., Plasma based photoresist removal system for cleaning post ash residue.
  33. Cheung, David; Yau, Wai Fan; Mandal, Robert P.; Jeng, Shin Puu; Liu, Kuo Wei; Lu, Yung Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  34. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  35. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  36. Owada, Tamotsu; Fukuyama, Shun-ichi; Watatani, Hirofumi; Inoue, Kengo; Shimizu, Atsuo, Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device.
  37. Owada, Tamotsu; Furuyama, Shun-ichi; Watantani, Hirofumi; Inoue, Kengo; Shimizu, Atsuo, Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device.
  38. Yim, Kang Sub; Demos, Alexandros T., Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition.
  39. Yim, Kang Sub; Demos, Alexandros T., Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition.
  40. Goto, Haruhiro Harry; Cheung, David, Simultaneous front side ash and backside clean.
  41. Blonigan,Wendell T.; White,John M.; Bagley,William A., Tunable gas distribution plate assembly.
  42. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Zhao; Yao, Guhua; Guha, Anirban; Ostrowski, Kirk J., Ultra low silicon loss high dose implant strip.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로