$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Process conditions and precursors for atomic layer deposition (ALD) of AL2O3

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/8238
출원번호 US-0053009 (2002-01-18)
발명자 / 주소
  • Song, Kevin
  • Ravi, Jallepally
  • Li, Shih-Hung
  • Chen, Liang-Yuh
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Moser, Patterson & Sheridan L.L.P.
인용정보 피인용 횟수 : 126  인용 특허 : 103

초록

A semiconductor processing chamber has been utilized to perform sequential deposition of high-K Al2O3thin films on a substrate disposed in the chamber employing low viscosity precursors. The method commences with introduction of an aluminum precursor into the processing chamber. In this manner, a mo

대표청구항

A semiconductor processing chamber has been utilized to perform sequential deposition of high-K Al2O3thin films on a substrate disposed in the chamber employing low viscosity precursors. The method commences with introduction of an aluminum precursor into the processing chamber. In this manner, a mo

이 특허에 인용된 특허 (103)

  1. Sneh Ofer ; Galewski Carl J., Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition.
  2. Steger Robert J. ; Redeker Fred C., Apparatus and method for cleaning of semiconductor process chamber surfaces.
  3. Wittstock Gerhard (Uetersen DEX), Apparatus for controlling operation of a lapping, honing or polishing machine.
  4. Kim Yong II,KRX ; Shin Joong Ho,KRX ; Yun Yeo Heung,KRX, Apparatus for deposition of thin films on wafers through atomic layer epitaxial process.
  5. Eres Djula (Knoxville TN) Sharp Jeffrey W. (Knoxville TN), Apparatus for externally controlled closed-loop feedback digital epitaxy.
  6. Tsai Charles Su-Chang, Apparatus of chemical vapor for producing layer variation by planetary susceptor rotation.
  7. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  8. Sandhu Gurtej Singh, Barrier layer cladding around copper interconnect lines.
  9. McKee Rodney Allen ; Walker Frederick Joseph, CaTiO.sub.3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films.
  10. Erbil Ahmet (Atlanta GA), Chemical vapor deposition of mixed metal oxide coatings.
  11. Skarp Jarmo I. (Helsinki FIX), Combination film, in particular for thin film electroluminescent structures.
  12. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartnetalized substrate processing chamber.
  13. Tony P. Chiang ; Karl F. Leeser, Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  14. Chan Lap ; Zheng Jia Zhen,SGX, Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer.
  15. Bang Won B. ; Yieh Ellie ; Pham Thanh, Deposition resistant lining for CVD chamber.
  16. DiMeo ; Jr. Frank ; Bilodeau Steven M. ; Van Buskirk Peter C., Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer.
  17. Ma Yanjun ; Ono Yoshi, Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same.
  18. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  19. Sugiura Kazuhiko,JPX ; Katayama Masayuki,JPX ; Ito Nobuei,JPX, Electroluminescent device and method of producing the same.
  20. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  21. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with composite atomic barrier film and process for making same.
  22. Aspnes David E. (Watchung NJ) Quinn William E. (Middlesex Boro NJ), Ellipsometric control of material growth.
  23. Wollnik Hermann (Fernwald DEX) Klein Christoph (Bad Endbach DEX), Fast timing position sensitive detector.
  24. Imai Masayuki (Kofu JPX) Nishimura Toshiharu (Kofu JPX), Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily.
  25. Watabe Masahiro (Kawasaki JPX), Flow control valve for use in fabrication of semiconductor devices.
  26. Jrgensen Holger (Aachen DEX), Gas inlet for a plurality of reactant gases into reaction vessel.
  27. Schumaker Norman E. (Warren NJ) Stall Richard A. (Warren NJ) Nelson Craig R. (Green Village NJ) Wagner Wilfried R. (Basking Ridge NJ), Gas treatment apparatus and method.
  28. Aftergut Siegfried (Schenectady NY) Ackerman John Frederick (Cheyenne WY), Hermetically sealed radiation imager.
  29. Aftergut Siegfried ; Ackerman John Frederick, Hermetically sealed radiation imager.
  30. Zhao Jun ; Luo Lee ; Jin Xiao Liang ; Wang Jia-Xiang ; Wolff Stefan ; Sajoto Talex, High temperature, high deposition rate process and apparatus for depositing titanium layers.
  31. Nishizawa Junichi (Miyagi JPX) Aoki Kenji (Tokyo JPX), Impurity doping method with adsorbed diffusion source.
  32. Bension Rouvain M. (310 Summit Ave. Brookline MA 02146) Truesdale Larry K. (27 Wetherill La. Chester Springs PA 19425), Initiation and bonding of diamond and other thin films.
  33. Helms Dirk (Ahrensburg DEX), Inserting device for vacuum apparatus.
  34. Park In-seon,KRX ; Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Kim Byung-hee,KRX ; Lee Sang-min,KRX ; Park Chang-soo,KRX, Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature.
  35. Bang Won ; Yieh Ellie ; Pham Thanh, Lid assembly for a process chamber employing asymmetric flow geometries.
  36. Wang Yen-Kun ; Chang Fong ; Pham Thanh ; Plante Jeff, Liquid flow rate estimation and verification by direct liquid measurement.
  37. Li Ting Kai ; Gurary Alexander I. ; Scott Dane C., Liquid vaporizer system and method.
  38. Nguyen Tue ; Hsu Sheng Teng, Low resistance contact between integrated circuit metal levels and method for same.
  39. Mak Alfred ; Lai Kevin ; Leung Cissy ; Sauvage Dennis,FRX, Low resistivity W using B2H6 nucleation step.
  40. Yamamoto Yoshitsugu (Tokyo JPX) Kadoiwa Kaoru (Tokyo JPX), MBE apparatus and gas branch piping apparatus.
  41. Aoki Kenji,JPX ; Takada Ryoji,JPX, MOS field effect transistor and its manufacturing method.
  42. Jrgensen Holger (Aachen DEX) Grter Klaus (Aachen DEX) Deschler Marc (Hauset DEX) Balk Pieter (Aachen DEX), Material-saving process for fabricating mixed crystals.
  43. Jevtic Dusan, Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer pr.
  44. Matsumoto Fumio (Miyagi JPX), Method for epitaxial growth of compound semiconductor using MOCVD with molecular layer epitaxy.
  45. Mochizuki Yuji (Tokyo JPX) Chiba Yoshie (Tokyo JPX) Takada Toshikazu (Tokyo JPX) Usui Akira (Tokyo JPX), Method for epitaxial growth of semiconductor crystal by using halogenide.
  46. Liu Jiang (Raleigh NC) Wolter Scott (Zebulon NC) McClure Michael T. (Raleigh NC) Stoner Brian R. (Chapel Hill NC) Glass Jeffrey T. (Apex NC) Hren John J. (Cary NC), Method for forming a diamond coated field emitter and device produced thereby.
  47. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  48. Kobayashi Naoki (Iruma JPX) Sugiura Hideo (Katsuta JPX) Horikoshi Yoshiji (Akishima JPX), Method for forming thin films of compound semiconductors by flow rate modulation epitaxy.
  49. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome ; Sendai-shi Miyagi JPX) Aoki Kenji (Matsudo JPX), Method for growing single crystal thin films of element semiconductor.
  50. Nishizawa Junichi (Sendai JPX) Aoki Kenji (Matsudo JPX), Method for growing single crystal thin films of element semiconductor.
  51. Petroff Pierre M. (Santa Barbara CA) Kroemer Herbert (Santa Barbara CA), Method for growing tilted superlattices.
  52. Leem Hyeun-seog,KRX, Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method.
  53. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Lee Sang-min,KRX, Method for manufacturing thin film using atomic layer deposition.
  54. Gaines James Matthew ; Petruzzello John, Method for producing II-VI compound semiconductor epitaxial layers having low defects.
  55. Foster Robert F. ; Hillman Joseph T. ; LeBlanc Rene E., Method for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor.
  56. Stauder Bruno (Saint-Nicolas) Perry Frdric (Villers-les-Nancy) Frantz Claude (Laxou) Billard Alain (Nancy) Pigeat Philippe (Nancy) Henrion Grard (Champigneulles), Method for self-stabilizing deposition of a stoichiometric compound by reactive sputtering.
  57. Habuka Hitoshi,JPX ; Mayuzumi Masanori,JPX ; Tate Naoto ; Katayama Masatake,JPX, Method of chemical vapor deposition and reactor therefor.
  58. Tsai Charles Su-Chang, Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation.
  59. Nishizawa Jun-ichi (6-16 ; Komegafukuro 1-chome ; Aoba-ku Sendai-shi ; Miyagi-ken JPX) Kurabayashi Toru (Sendai JPX), Method of epitaxially growing semiconductor crystal using light as a detector.
  60. Aoki Kenji (Tokyo JPX) Shimbo Masafumi (Tokyo JPX), Method of fabricating MOS field effect transistor.
  61. Naito Yasushi,JPX ; Ito Yutaka,JPX ; Hirofuji Yuichi,JPX, Method of fabricating a high-density dynamic random-access memory.
  62. Okamura Kenji (Tokyo JPX) Zenke Masanobu (Tokyo JPX) Den Yasuhide (Tokyo JPX), Method of fabricating semiconductor devices.
  63. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  64. Otsuka Nobuyuki (Kawasaki JPX), Method of growing a semiconductor layer and a fabrication method of a semiconductor device using such a semiconductor la.
  65. Shimbo Masafumi (31-1 ; Kameido 6-chome Koto-ku ; Tokyo JPX), Method of growing compound semiconductor thin film using multichamber smoothing process.
  66. Harkonen Gitte,FIX ; Kervinen Tomi,FIX ; Soininen Erkki,FIX ; Tornqvist Runar,FIX ; Vasama Kirsi,FIX ; Glanz Mario,DEX ; Schumann Herbert,DEX, Method of growing thin film electroluminescent structures.
  67. Turner Norman L. (Mountain View CA) White John MacNeill (Los Gatos CA) Berkstresser David (Los Gatos CA), Method of heating and cooling large area glass substrates.
  68. Graf Volker (Wollerau CHX) Mueller Carl A. (Hedingen CHX), Method of making artificial layered high Tc superconductors.
  69. Nishizawa Jun-ichi (Sendai JPX) Kurabayashi Toru (Sendai JPX), Method of manufacturing a static induction field-effect transistor.
  70. Merchant Sailesh M. ; Misra Sudhanshu ; Roy Pradip K., Method of passivating copper interconnects in a semiconductor.
  71. Aoki Kenji,JPX ; Akamine Tadao,JPX ; Kojima Yoshikazu,JPX, Method of producing a bipolar transistor.
  72. Stark Lawrence R. (San Jose CA) Turner Frederick (Sunnyvale CA), Modular wafer transport and processing system.
  73. Yitzchaik Shlomo,ILX ; Burtman Vladimir,ILX, Molecular layer epitaxy method and compositions.
  74. Maydan Dan (Los Altos Hills CA) Somekh Sasson (Redwood City CA) Wang David N. (Cupertino CA) Cheng David (San Jose CA) Toshima Masato (San Jose CA) Harari Isaac (Mountain View CA) Hoppe Peter D. (Sun, Multi-chamber integrated process system.
  75. Sato Junichi (Tokyo JPX) Hasegawa Toshiaki (Kanagawa JPX) Komatsu Hiroshi (Kanagawa JPX), Multi-chamber wafer process equipment having plural, physically communicating transfer means.
  76. Muka Richard S., Multi-level substrate processing apparatus.
  77. Kim Je-Ha,KRX ; Han Seok-Kil,KRX ; Kang Kwang-Yong,KRX, Multi-target manipulator for pulsed laser deposition apparatus.
  78. Maydan Dan ; Somekh Sasson ; Wang David Nin-Kou ; Cheng David ; Toshima Masato ; Harari Isaac ; Hoppe Peter D., Multiple chamber integrated process system.
  79. Doering Kenneth ; Galewski Carl J., Multipurpose processing chamber for chemical vapor deposition processes.
  80. Aspnes David E. (Watchung NJ) Bhat Rajaram (Red Bank NJ) Colas Etienne G. (Asbury Park NJ) Florez Leigh T. (Atlantic Highlands NJ) Harbison James P. (Fair Haven NJ) Studna Amabrose A. (Raritan NJ), Optical control of deposition of crystal monolayers.
  81. Randhawa Harbhajan S. (Boulder CO) Buske Jeffrey M. (Boulder CO), Physical vapor deposition dual coating process.
  82. Foley Henry C. (Newark DE) Varrin ; Jr. Robert D. (Newark DE) Sengupta Sourav K. (Newark DE), Plasma-induced, in-situ generation, transport and use or collection of reactive precursors.
  83. Boitnott Charles A. (Half Moon Bay CA) Caughran James W. (Lodi CA) Egbert Steve (Palo Alto CA), Process chamber sleeve with ring seals for isolating individual process modules in a common cluster.
  84. Chang Mei (Cupertino CA) Leung Cissy (Fremont CA) Wang David N. (Saratoga CA) Cheng David (San Jose CA), Process for CVD deposition of tungsten layer on semiconductor wafer.
  85. Doering Kenneth ; Galewski Carl J. ; Gadgil Prasad N. ; Seidel Thomas E., Processing chamber for atomic layer deposition processes.
  86. Cooper Clark V. ; Bushnell Paul J. ; Mertell Martin M., Rotary compressor with reduced lubrication sensitivity.
  87. Kitch Vassili, Self-aligned copper interconnect structure and method of manufacturing same.
  88. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitochi (22-11 ; Midorigaoka 1-chome Sendai-shi ; Miyagi-ken JPX), Semiconductor crystal growth apparatus.
  89. Imahashi Issei (Yamanashi-ken JPX), Semiconductor processing system.
  90. Young Joseph R. ; Rodriguez Benjamin Garcia ; Barry James R., Signal tower controller.
  91. Connell George A. N. (Cupertino CA) Fenner David B. (Menlo Park CA) Boyce James B. (Los Altos CA) Fork David K. (Palo Alto CA), Silicon substrate having an epitaxial superconducting layer thereon and method of making same.
  92. Tepman Avi (Cupertino CA) Grunes Howard (Santa Cruz CA) Somekh Sasson (Los Altos Hills CA) Maydan Dan (Los Altos Hills CA), Staged-vacuum wafer processing system and method.
  93. Yonemitsu Shuji,JPX ; Karino Toshikazu,JPX ; Yoshida Hisashi,JPX ; Watahiki Shinichiro,JPX ; Yoshida Yuji,JPX ; Shimura Hideo,JPX ; Sugimoto Takeshi,JPX ; Aburatani Yukinori,JPX ; Ikeda Kazuhito,JPX, Substrate processing apparatus.
  94. Beaulieu David ; Pippins Michael W., Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer.
  95. Copel Matthew W. (Katonah NY) Tromp Rudolf M. (Mount Kisco NY), Surfactant-enhanced epitaxy.
  96. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  97. Nakata Yukihiko,JPX ; Fujihara Masaki,JPX ; Date Masahiro,JPX ; Matsuo Takuya,JPX ; Ayukawa Michiteru,JPX ; Itoga Takashi,JPX, Thin-film semiconductor device including a semiconductor film with high field-effect mobility.
  98. Goodman Alvin M. (Arlington VA) Yoder Max N. (Falls Church VA), Trenched bipolar transistor structures.
  99. Nitowski Gary A. (Natrona PA) Wieserman Larry F. (Apollo PA) Wefers Karl (Apollo PA), Twice-anodized aluminum article having an organo-phosphorus monolayer and process for making the article.
  100. Mohindra Raj ; Bhushan Abhay ; Bhushan Rajiv ; Puri Suraj ; Anderson ; Sr. John H. ; Nowell Jeffrey, Ultra-low particle semiconductor cleaner.
  101. Ilg Matthias ; Kirchhoff Markus ; Werner Christoph,DEX, Uniform distribution of reactants in a device layer.
  102. Kato Susumu (Isawa-Cho JPX) Yamaguchi Hirofumi (Sudama-Cho JPX), Vacuum process apparaus.
  103. Edwards Richard C. (Ringwood NJ) Kolesa Michael S. (Suffern NY) Ishikawa Hiroichi (Mahwah NJ), Wafer processing cluster tool batch preheating and degassing apparatus.

이 특허를 인용한 특허 (126)

  1. Metzner, Craig R.; Kher, Shreyas S.; Gopal, Vidyut; Han, Shixue; Athreya, Shankarram A., ALD metal oxide deposition process using direct oxidation.
  2. Metzner, Craig R.; Kher, Shreyas S.; Gopal, Vidyut; Han, Shixue; Athreya, Shankarram A., ALD metal oxide deposition process using direct oxidation.
  3. Chien, Wei-Chih; Chang, Kuo-Pin; Chen, Yi-Chou; Lai, Erh-Kun; Hsieh, Kuang-Yeu, Aluminum copper oxide based memory devices and methods for manufacture.
  4. Fu, Xinyu; Forster, John; Wang, Wei W., Apparatus and a method for cleaning a dielectric film.
  5. Thakur, Randhir P. S.; Mak, Alfred W.; Xi, Ming; Glenn, Walter Benjamin; Khan, Ahmad A.; Al-Shaikh, Ayad A.; Gelatos, Avgerinos V.; Umotoy, Salvador P., Apparatus for cyclical depositing of thin films.
  6. Thakur,Randhir P. S.; Mak,Alfred W.; Xi,Ming; Glenn,Walter Benjamin; Khan,Ahmad A.; Al Shaikh,Ayad A.; Gelatos,Avgerinos V.; Umotoy,Salvador P., Apparatus for cyclical deposition of thin films.
  7. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Apparatus for integration of barrier layer and seed layer.
  8. Myo, Nyi Oo; Choi, Kenric; Kher, Shreyas; Narwankar, Pravin; Poppe, Steve; Metzner, Craig R.; Deaten, Paul, Apparatuses for atomic layer deposition.
  9. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited Zr-Sn-Ti-O films using TiI.
  10. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrAlOdielectric layers including ZrAlO.
  11. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  12. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited lanthanide doped TiOx dielectric films.
  13. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited titanium silicon oxide films.
  14. Derderian, Garo J.; Sandhu, Gurtej Singh, Atomic layer deposition and conversion.
  15. Derderian, Garo J.; Sandhu, Gurtej Singh, Atomic layer deposition and conversion.
  16. Tabatabaie, Kamal; Hallock, Robert B., Atomic layer deposition in the formation of gate structures for III-V semiconductor.
  17. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer.
  18. Ma, Yi; Kher, Shreyas S.; Ahmed, Khaled; Goyani, Tejal; Mahajani, Maitreyee; Ravi, Jallepally; Huang, Yi-Chiau, Atomic layer deposition processes for non-volatile memory devices.
  19. Ma, Yi; Kher, Shreyas S.; Ahmed, Khaled; Goyani, Tejal; Mahajani, Maitreyee; Ravi, Jallepally; Huang, Yi-Chiau, Atomic layer deposition processes for non-volatile memory devices.
  20. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  21. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  22. Chen, Ling; Marcadal, Christophe; Yoon, Hyungsuk Alexander, CVD TiSiN barrier for copper integration.
  23. Nakamori, Mitsunori; Iino, Tadashi; Uchida, Noritaka; Orii, Takehiko, Computer readable storage medium for controlling substrate processing apparatus.
  24. Ahn, Kie Y.; Forbes, Leonard, Conductive layers for hafnium silicon oxynitride.
  25. Ahn, Kie Y.; Forbes, Leonard, Conductive layers for hafnium silicon oxynitride films.
  26. Ahn, Kie Y.; Forbes, Leonard, Conductive layers for hafnium silicon oxynitride films.
  27. Nguyen, Son T.; Sangam, Kedarnath; Schwartz, Miriam; Choi, Kenric; Bhat, Sanjay; Narwankar, Pravin K.; Kher, Shreyas; Sharangapani, Rahul; Muthukrishnan, Shankar; Deaton, Paul, Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system.
  28. Bhatia,Ritwik; Xia,Li Qun; Peterson,Chad; M'Saad,Hichem, Decreasing the etch rate of silicon nitride by carbon addition.
  29. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  30. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  31. Vaartstra, Brian A., Dielectric layers and memory cells including metal-doped alumina.
  32. Vaartstra, Brian A., Dielectric layers and memory cells including metal-doped alumina.
  33. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  34. Meng, Shuang; Derderian, Garo J.; Sandhu, Gurtej Singh, Enhanced atomic layer deposition.
  35. Meng, Shuang; Derderian, Garo J.; Sandhu, Gurtej Singh, Enhanced atomic layer deposition.
  36. Meng,Shuang; Derderian,Garo J.; Sandhu,Gurtej Singh, Enhanced atomic layer deposition.
  37. Zojaji, Ali; Samoilov, Arkadii V., Etchant treatment processes for substrate surfaces and chamber surfaces.
  38. Zojaji, Ali; Samoilov, Arkadii V., Etchant treatment processes for substrate surfaces and chamber surfaces.
  39. Ahn, Kiey Y.; Forbes, Leonard, Evaporated LaA1O3 films for gate dielectrics.
  40. Narwankar, Pravin K.; Higashi, Gregg, Formation of a silicon oxynitride layer on a high-k dielectric material.
  41. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  42. Ishikawa, David; Metzner, Craig R.; Zojaji, Ali; Kim, Yihwan; Samoilov, Arkadii V., Gas manifolds for use during epitaxial film formation.
  43. Gealy, Dan; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric layers.
  44. Gealy, Dan; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric structures.
  45. Gealy, F. Daniel; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric structures.
  46. Ahn, Kie Y.; Forbes, Leonard, HfAlOfilms for gate dielectrics.
  47. Ahn, Kie Y.; Forbes, Leonard, High-K gate dielectric oxide.
  48. Ahn, Kie Y.; Forbes, Leonard, Highly reliable amorphous high-K gate oxide ZrO2.
  49. Ahn,Kie Y.; Forbes,Leonard, Highly reliable amorphous high-k gate dielectric ZrON.
  50. Ahn,Kie Y.; Forbes,Leonard, Highly reliable amorphous high-k gate oxide ZrO2.
  51. Chung,Hua; Maity,Nirmalya; Yu,Jick; Mosely,Roderick Craig; Chang,Mei, Integration of ALD tantalum nitride for copper metallization.
  52. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Integration of barrier layer and seed layer.
  53. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  54. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  55. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  56. Samoilov, Arkadii, Low temperature etchant for treatment of silicon-containing surfaces.
  57. Samoilov,Arkadii V., Low temperature etchant for treatment of silicon-containing surfaces.
  58. Forbes, Leonard; Ahn, Kie Y., Memory utilizing oxide nanolaminates.
  59. Forbes, Leonard; Ahn, Kie Y., Memory utilizing oxide nanolaminates.
  60. Vaartstra,Brian A., Metal-doped alumina and layers thereof.
  61. Chua, Thai Cheng; Hung, Steven; Liu, Patricia M.; Sato, Tatsuya; Paterson, Alex M.; Todorov, Valentin; Holland, John P., Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system.
  62. Olsen, Christopher Sean; Chua, Thai Cheng; Hung, Steven; Liu, Patricia M.; Sato, Tatsuya; Paterson, Alex M.; Todorow, Valentin; Holland, John P., Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system.
  63. Ranish, Joseph M.; Singh, Kaushal K., Method for forming silicon-containing materials during a photoexcitation deposition process.
  64. Singh, Kaushal K.; Ranish, Joseph M., Method for forming silicon-containing materials during a photoexcitation deposition process.
  65. Singh, Kaushal K.; Ranish, Joseph M., Method for forming silicon-containing materials during a photoexcitation deposition process.
  66. Endo, Kazuhiko; Saitoh, Motofumi, Method for vapor deposition of a metal compound film.
  67. Zope, Bhushan N.; Gelatos, Avgerinos V.; Zheng, Bo; Lei, Yu; Fu, Xinyu; Gandikota, Srinivas; Yu, Sang Ho; Abraham, Mathew, Method of enabling seamless cobalt gap-fill.
  68. Zope, Bhushan N.; Gelatos, Avgerinos V.; Zheng, Bo; Lei, Yu; Fu, Xinyu; Gandikota, Srinivas; Yu, Sang-Ho; Abraham, Mathew, Method of enabling seamless cobalt gap-fill.
  69. Zope, Bhushan N.; Gelatos, Avgerinos V.; Zheng, Bo; Lei, Yu; Fu, Xinyu; Gandikota, Srinivas; Yu, Sang-ho; Abraham, Mathew, Method of enabling seamless cobalt gap-fill.
  70. Chua, Thai Cheng; Paterson, Alex M.; Hung, Steven; Liu, Patricia M.; Sato, Tatsuya; Todorow, Valentin; Holland, John P., Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus.
  71. Ahn, Kie Y.; Forbes, Leonard, Method of forming apparatus having oxide films formed using atomic layer deposition.
  72. Lee, Jung Ho; Choi, Jung Sik; Cho, Jun Hyun; Chon, Sang Mun, Method of forming metal oxide using an atomic layer deposition process.
  73. Lee,Jung Ho; Choi,Jung Sik; Cho,Jun Hyun; Chon,Sang Mun, Method of forming metal oxide using an atomic layer deposition process.
  74. Yeo,Jae Hyun; Park,Young Wook; Kim,Ki Chul; Han,Jae Jong, Methods and apparatus for forming thin films for semiconductor devices.
  75. Fu, Xinyu; Tang, Wei; Shah, Kavita; Gandikota, Srinivas; Yu, San H.; Gelatos, Avgerinos, Methods for annealing a contact metal layer to form a metal silicidation layer.
  76. Myo, Nyi Oo; Cho, Kenric; Kher, Shreyas; Narwankar, Pravin; Poppe, Steve; Metzner, Craig R.; Deaten, Paul, Methods for atomic layer deposition of hafnium-containing high-K dielectric materials.
  77. Ahn, Kie Y.; Forbes, Leonard, Methods for atomic-layer deposition.
  78. Ahn,Kie Y.; Forbes,Leonard, Methods for atomic-layer deposition of aluminum oxides in integrated circuits.
  79. Chang, Mei; Thanh, Linh; Zheng, Bo; Sundarrajan, Arvind; Forster, John C.; Kellkar, Umesh M.; Narasimhan, Murali K., Methods for contact clean.
  80. Zhang, Yu-Zhong, Methods for detecting defects in inorganic-coated polymer surfaces.
  81. Zhang, Yu-Zhong, Methods for detecting defects in inorganic-coated polymer surfaces.
  82. Fu, Xinyu; Gandikota, Srinivas; Yu, Sang Ho; Shah, Kavita; Lei, Yu, Methods for forming a contact metal layer in semiconductor devices.
  83. Ling, Mang-Mang; Ko, Jungmin; Kang, Sean S.; Pender, Jeremiah T.; Nemani, Srinivas D.; Howard, Bradley, Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process.
  84. Naik, Mehul B.; Nemani, Srinivas D.; Koshizawa, Takehito; Ren, He, Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications.
  85. Zheng, Bo; Sundarrajan, Arvind; Hamkar, Manish, Methods for precleaning a substrate prior to metal silicide fabrication process.
  86. Kim, Yihwan; Lam, Andrew M., Methods of controlling morphology during epitaxial layer formation.
  87. Jiang, Chong; Chan, Anthony Chih-Tung, Methods of forming a barrier layer in an interconnect structure.
  88. Fu, Xinyu; Kashefizadeh, Keyvan; Bodke, Ashish Subhash; Lam, Winsor; Tanaka, Yiochiro; Kim, Wonwoo, Methods of forming a layer for barrier applications in an interconnect structure.
  89. Fu, Xinyu; Kashefizadeh, Keyvan; Bodke, Ashish Subhash; Lam, Winsor; Tanaka, Yiochiro; Kim, Wonwoo, Methods of forming a layer for barrier applications in an interconnect structure.
  90. Ge, Zhenbin; Ritchie, Alan; Allen, Adolph Miller, Methods of forming a metal containing layer on a substrate with high uniformity and good profile control.
  91. Ahn, Kie Y.; Forbes, Leonard, Methods of forming an insulating metal oxide.
  92. Kim, Yihwan; Ye, Zhiyuan; Zojaji, Ali, Methods of forming carbon-containing silicon epitaxial layers.
  93. Ahn, Kie Y.; Forbes, Leonard, Methods of forming titanium silicon oxide.
  94. Ahn, Kie Y.; Forbes, Leonard, Methods of forming zirconium aluminum oxide.
  95. Kim, Yihwan; Samoilov, Arkadii V., Methods of selective deposition of heavily doped epitaxial SiGe.
  96. Nam, Sang Ki; Cho, Tae Seung; Godet, Ludovic; Nemani, Srinivas D., Plasma uniformity control by arrays of unit cell plasmas.
  97. Mahajani, Maitreyee; Yudovsky, Joseph; McDougall, Brendan, Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool.
  98. Yang, Michael X.; Itoh, Toshio; Xi, Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  99. Yang,Michael X.; Itoh,Toshio; Xi,Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  100. Carlson, David K.; Kuppurao, Satheesh; Sanchez, Errol Antonio C.; Beckford, Howard; Kim, Yihwan, Selective deposition.
  101. Kim, Yihwan; Samoilov, Arkadii V., Selective epitaxy process with alternating gas supply.
  102. Kim, Yihwan; Samoilov, Arkadii V., Selective epitaxy process with alternating gas supply.
  103. Kim,Yihwan; Samoilov,Arkadii V., Selective epitaxy process with alternating gas supply.
  104. Olsen, Christopher; Narwankar, Pravin K.; Kher, Shreyas S.; Thakur, Randhir; Muthukrishnan, Shankar; Kraus, Philip A., Stabilization of high-k dielectric materials.
  105. Ahn, Kie Y.; Forbes, Leonard, Structures containing titanium silicon oxide.
  106. Vaartstra, Brian A.; Quick, Timothy A., Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands.
  107. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands.
  108. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands.
  109. Vaartstra, Brian A., Systems and methods for forming metal oxides using metal diketonates and/or ketoimines.
  110. Vaartstra, Brian A., Systems and methods for forming metal oxides using metal diketonates and/or ketoimines.
  111. Vaartstra, Brian A., Systems and methods for forming metal oxides using metal diketonates and/or ketoimines.
  112. Vaartstra,Brian A., Systems and methods for forming metal oxides using metal diketonates and/or ketoimines.
  113. Vaartstra,Brian A., Systems and methods for forming metal-doped alumina.
  114. Ahn, Kie Y.; Forbes, Leonard, Titanium aluminum oxide films.
  115. Kraus, Brenda D; Marsh, Eugene P., Titanium nitride films.
  116. Meng, Shuang; Derderian, Garo J.; Sandhu, Gurtej S., Transistor with reduced depletion field width.
  117. Meng, Shuang; Derderian, Garo J.; Sandhu, Gurtej S., Transistor with reduced depletion field width.
  118. Forbes, Leonard; Cloud, Eugene H.; Ahn, Kie Y., Transmission lines for CMOS integrated circuits.
  119. Chen, Zhihong; Guo, Dechao; Han, Shu-jen; Zhao, Kai, Ultrathin spacer formation for carbon-based FET.
  120. Chen, Zhihong; Guo, Dechao; Han, Shu-jen; Zhao, Kai, Ultrathin spacer formation for carbon-based FET.
  121. Ye, Zhiyuan; Kim, Yihwan; Li, Xiaowei; Zojaji, Ali; Dalida, Nicholas C.; Tang, Jinsong; Chen, Xiao; Samoilov, Arkadii V., Use of CL2 and/or HCL during silicon epitaxial film formation.
  122. Ye, Zhiyuan; Kim, Yihwan; Li, Xiaowei; Zojaji, Ali; Dalida, Nicholas C.; Tang, Jinsong; Chen, Xiao; Samoilov, Arkadii V., Use of Cl2 and/or HCl during silicon epitaxial film formation.
  123. Ye, Zhiyuan; Kim, Yihwan; Li, Xiaowei; Zojaji, Ali; Dalida, Nicholas C.; Tang, Jinsong; Chen, Xiao; Samoilov, Arkadii V., Use of Cl2 and/or HCl during silicon epitaxial film formation.
  124. Ahn,Kie Y.; Forbes,Leonard, Zr--Sn--Ti--O films.
  125. Ahn, Kie Y.; Forbes, Leonard, Zr-Sn-Ti-O films.
  126. Ahn, Kie Y.; Forbes, Leonard, Zr-Sn-Ti-O films.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트