$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Cyclical deposition of a variable content titanium silicon nitride layer

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B05D-005/12
출원번호 US-0119369 (2002-04-08)
발명자 / 주소
  • Yang, Michael X.
  • Xi, Ming
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Moser, Patterson & Sheridan, LLP
인용정보 피인용 횟수 : 57  인용 특허 : 203

초록

Embodiments of the invention relate to an apparatus and method of depositing a titanium silicon nitride layer by cyclical deposition. In one aspect, a titanium silicon nitride layer having a variable content or a controlled composition of titanium, silicon, and nitrogen through the depth of the laye

대표청구항

Embodiments of the invention relate to an apparatus and method of depositing a titanium silicon nitride layer by cyclical deposition. In one aspect, a titanium silicon nitride layer having a variable content or a controlled composition of titanium, silicon, and nitrogen through the depth of the laye

이 특허에 인용된 특허 (203)

  1. Gurtej Sandhu ; Garo J. Derderian, ALD method to improve surface coverage.
  2. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices.
  3. Ofer Sneh ; Carl J. Galewski, Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition.
  4. Sneh Ofer ; Galewski Carl J., Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition.
  5. Steger Robert J. ; Redeker Fred C., Apparatus and method for cleaning of semiconductor process chamber surfaces.
  6. Raaijmakers, Ivo, Apparatus and method for growth of a thin film.
  7. Yoder Max N. (Falls Church VA), Apparatus for and a method of growing thin films of elemental semiconductors.
  8. Scholz Christoph (Schliersee DEX), Apparatus for atomic layer epitaxial growth.
  9. Wittstock Gerhard (Uetersen DEX), Apparatus for controlling operation of a lapping, honing or polishing machine.
  10. Stall Richard A. (Warren NJ) Tompa Gary S. (Somerville NJ) Gurary Alexander (Bridgewater NJ) Nelson Craig R. (Berkeley Heights NJ), Apparatus for depositing a coating on a substrate.
  11. Kim Yong II,KRX ; Shin Joong Ho,KRX ; Yun Yeo Heung,KRX, Apparatus for deposition of thin films on wafers through atomic layer epitaxial process.
  12. Eres Djula (Knoxville TN) Sharp Jeffrey W. (Knoxville TN), Apparatus for externally controlled closed-loop feedback digital epitaxy.
  13. Soininen Pekka,FIX ; Patteri Janne,FIX, Apparatus for growing thin films.
  14. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Apparatus for performing growth of compound thin films.
  15. Tsai Charles Su-Chang, Apparatus of chemical vapor for producing layer variation by planetary susceptor rotation.
  16. Hyun Kwang-Soo,KRX ; Park Kyung-ho,KRX ; Yoon Neung-goo,KRX ; Choi Kang-jun,KRX ; Jeong Soo-hong,KRX, Atomic layer deposition apparatus for depositing atomic layer on multiple substrates.
  17. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  18. Yoder Max N. (Falls Church VA), Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors.
  19. Yokoyama Haruki (Kanagawa JPX) Shinohara Masanori (Kanagawa JPX), Atomic layer epitaxy method and apparatus.
  20. Sakuma Yoshiki (Kawasaki JPX) Ozeki Masashi (Kawasaki JPX) Ohtuka Nobuyuki (Kawasaki JPX) Kodama Kunihiko (Takarazuka JPX), Atomic layer epitaxy of compound semiconductor.
  21. Sandhu Gurtej Singh, Barrier layer cladding around copper interconnect lines.
  22. McKee Rodney Allen ; Walker Frederick Joseph, CaTiO.sub.3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films.
  23. Erbil Ahmet (Atlanta GA), Chemical vapor deposition of mixed metal oxide coatings.
  24. Skarp Jarmo I. (Helsinki FIX), Combination film, in particular for thin film electroluminescent structures.
  25. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartnetalized substrate processing chamber.
  26. Tony P. Chiang ; Karl F. Leeser, Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  27. Arimoto Satoshi (Itami JPX), Crystal growth method.
  28. Chan Lap ; Zheng Jia Zhen,SGX, Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer.
  29. Kai-Erik Elers FI; Suvi P. Haukka FI; Ville Antero Saanila FI; Sari Johanna Kaipio FI; Pekka Juha Soininen FI, Deposition of transition metal carbides.
  30. Bang Won B. ; Yieh Ellie ; Pham Thanh, Deposition resistant lining for CVD chamber.
  31. DiMeo ; Jr. Frank ; Bilodeau Steven M. ; Van Buskirk Peter C., Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer.
  32. Wallace Robert M. (Dallas TX) Gnade Bruce E. (Rowlett TX), Directed effusive beam atomic layer epitaxy system and method.
  33. Ma Yanjun ; Ono Yoshi, Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same.
  34. Sunakawa Haruo (Tokyo JPX), Doping III-V compound semiconductor devices with group VI monolayers using ALE.
  35. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  36. Sugiura Kazuhiko,JPX ; Katayama Masayuki,JPX ; Ito Nobuei,JPX, Electroluminescent device and method of producing the same.
  37. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  38. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with composite atomic barrier film and process for making same.
  39. Aspnes David E. (Watchung NJ) Quinn William E. (Middlesex Boro NJ), Ellipsometric control of material growth.
  40. Wollnik Hermann (Fernwald DEX) Klein Christoph (Bad Endbach DEX), Fast timing position sensitive detector.
  41. Imai Masayuki (Kofu JPX) Nishimura Toshiharu (Kofu JPX), Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily.
  42. Watabe Masahiro (Kawasaki JPX), Flow control valve for use in fabrication of semiconductor devices.
  43. Pin-Chin C. Wang ; Sergey Lopatin, Formation of alloy material using alternating depositions of alloy doping element and bulk material.
  44. Jrgensen Holger (Aachen DEX), Gas inlet for a plurality of reactant gases into reaction vessel.
  45. Schumaker Norman E. (Warren NJ) Stall Richard A. (Warren NJ) Nelson Craig R. (Green Village NJ) Wagner Wilfried R. (Basking Ridge NJ), Gas treatment apparatus and method.
  46. Sunakawa Haruo (Tokyo JPX), Group VI doping of III-V semiconductors during ALE.
  47. Cheng Hwa (Woodbury MN) DePuydt James M. (St. Paul MN) Haase Michael A. (Woodbury MN) Qiu Jun (Woodbury MN), Growth of II VI laser diodes with quantum wells by atomic layer epitaxy and migration enhanced epitaxy.
  48. Nishizawa Junichi (Miyai-ken JPX) Abe Hitoshi (Miyai-ken JPX) Suzuki Soubei (1-3 ; Otamayahshita Sendai-shi ; Miyagi-ken JPX), Growth of doped semiconductor monolayers.
  49. Aftergut Siegfried (Schenectady NY) Ackerman John Frederick (Cheyenne WY), Hermetically sealed radiation imager.
  50. Aftergut Siegfried ; Ackerman John Frederick, Hermetically sealed radiation imager.
  51. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate.
  52. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same.
  53. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same.
  54. Regan Michael J., High efficiency printhead containing a novel oxynitride-based resistor system.
  55. Van Hove James M. (Eagan MN) Kuznia Jon N. (Bloomington MN) Olson Donald T. (Roseville MN) Kahn Muhammad A. (White Bear Lake MN) Blasingame Margaret C. (Moundsview MN), High responsivity ultraviolet gallium nitride detector.
  56. Zhao Jun ; Luo Lee ; Jin Xiao Liang ; Wang Jia-Xiang ; Wolff Stefan ; Sajoto Talex, High temperature, high deposition rate process and apparatus for depositing titanium layers.
  57. Gaines James M. (Mohegan Lake NY) Petruzzello John (Carmel NY), II-VI compound semiconductor epitaxial layers having low defects, method for producing and devices utilizing same.
  58. Nishizawa Junichi (Miyagi JPX) Aoki Kenji (Tokyo JPX), Impurity doping method with adsorbed diffusion source.
  59. Bension Rouvain M. (310 Summit Ave. Brookline MA 02146) Truesdale Larry K. (27 Wetherill La. Chester Springs PA 19425), Initiation and bonding of diamond and other thin films.
  60. Helms Dirk (Ahrensburg DEX), Inserting device for vacuum apparatus.
  61. Park In-seon,KRX ; Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Kim Byung-hee,KRX ; Lee Sang-min,KRX ; Park Chang-soo,KRX, Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature.
  62. Bang Won ; Yieh Ellie ; Pham Thanh, Lid assembly for a process chamber employing asymmetric flow geometries.
  63. Wang Yen-Kun ; Chang Fong ; Pham Thanh ; Plante Jeff, Liquid flow rate estimation and verification by direct liquid measurement.
  64. Senzaki Yoshihide ; Roberts David Allen ; Norman John Anthony Thomas, Liquid precursor mixtures for deposition of multicomponent metal containing materials.
  65. Li Ting Kai ; Gurary Alexander I. ; Scott Dane C., Liquid vaporizer system and method.
  66. Nguyen Tue ; Hsu Sheng Teng, Low resistance contact between integrated circuit metal levels and method for same.
  67. Mak Alfred ; Lai Kevin ; Leung Cissy ; Sauvage Dennis,FRX, Low resistivity W using B2H6 nucleation step.
  68. Yamamoto Yoshitsugu (Tokyo JPX) Kadoiwa Kaoru (Tokyo JPX), MBE apparatus and gas branch piping apparatus.
  69. Aoki Kenji,JPX ; Takada Ryoji,JPX, MOS field effect transistor and its manufacturing method.
  70. Jrgensen Holger (Aachen DEX) Grter Klaus (Aachen DEX) Deschler Marc (Hauset DEX) Balk Pieter (Aachen DEX), Material-saving process for fabricating mixed crystals.
  71. Aucoin Thomas R. (Ocean NJ) Wittstruck Richard H. (Howell NJ) Zhao Jing (Ellicott MD) Zawadzki Peter A. (Plainfield NJ) Baarck William R. (Fair Haven NJ) Norris Peter E. (Cambridge MA), Method and apparatus for depositing a refractory thin film by chemical vapor deposition.
  72. Jevtic Dusan, Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer pr.
  73. Suntola Tuomo,FIX ; Lindfors Sven,FIX ; Soininen Pekka,FIX, Method and equipment for growing thin films.
  74. Alessandra Satta BE; Karen Maex BE; Kai-Erik Elers FI; Ville Antero Saanila FI; Pekka Juha Soininen FI; Suvi P. Haukka FI, Method for bottomless deposition of barrier layers in integrated circuit metallization schemes.
  75. Tuomo Suntoloa FI; Markku Leskela FI; Mikko Ritala FI, Method for coating inner surfaces of equipment.
  76. Matsumoto Fumio (Miyagi JPX), Method for epitaxial growth of compound semiconductor using MOCVD with molecular layer epitaxy.
  77. Mochizuki Yuji (Tokyo JPX) Chiba Yoshie (Tokyo JPX) Takada Toshikazu (Tokyo JPX) Usui Akira (Tokyo JPX), Method for epitaxial growth of semiconductor crystal by using halogenide.
  78. Liu Jiang (Raleigh NC) Wolter Scott (Zebulon NC) McClure Michael T. (Raleigh NC) Stoner Brian R. (Chapel Hill NC) Glass Jeffrey T. (Apex NC) Hren John J. (Cary NC), Method for forming a diamond coated field emitter and device produced thereby.
  79. Matsumoto Tomotaka (Kawasaki JPX) Inoue Jun (Kawasaki JPX) Ichimura Teruhiko (Kawasaki JPX) Murata Yuji (Kawasaki JPX) Watanabe Junichi (Kawasaki JPX) Nagahiro Yoshio (Kawasaki JPX) Hodate Mari (Kawa, Method for forming a film and method for manufacturing a thin film transistor.
  80. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Kim Young-sun,KRX, Method for forming dielectric film of capacitor having different thicknesses partly.
  81. Yun-sook Chae KR; Sang-bom Kang KR; Gil-heyun Choi KR; In-sang Jeon KR, Method for forming metal layer of semiconductor device using metal halide gas.
  82. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  83. Kobayashi Naoki (Iruma JPX) Sugiura Hideo (Katsuta JPX) Horikoshi Yoshiji (Akishima JPX), Method for forming thin films of compound semiconductors by flow rate modulation epitaxy.
  84. Wang Xue-Lun,JPX ; Ogura Mutsuo,JPX, Method for growing Group III atomic layer.
  85. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome ; Sendai-shi Miyagi JPX) Aoki Kenji (Matsudo JPX), Method for growing single crystal thin films of element semiconductor.
  86. Nishizawa Junichi (Sendai JPX) Aoki Kenji (Matsudo JPX), Method for growing single crystal thin films of element semiconductor.
  87. Suntola Tuomo,FIX ; Lindfors Sven,FIX, Method for growing thin films.
  88. Petroff Pierre M. (Santa Barbara CA) Kroemer Herbert (Santa Barbara CA), Method for growing tilted superlattices.
  89. Kao Chien-Teh ; Tsai Kenneth ; Pham Quyen ; Rose Ronald L. ; Augason Calvin R. ; Yudovsky Joseph, Method for improved remote microwave plasma source for use with substrate processing system.
  90. David Christopher Gilmer, Method for making a hafnium-based insulating film.
  91. Leem Hyeun-seog,KRX, Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method.
  92. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Lee Sang-min,KRX, Method for manufacturing thin film using atomic layer deposition.
  93. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  94. Gaines James Matthew ; Petruzzello John, Method for producing II-VI compound semiconductor epitaxial layers having low defects.
  95. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  96. Foster Robert F. ; Hillman Joseph T. ; LeBlanc Rene E., Method for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor.
  97. Kang Sang-Bom,KRX ; Lee Sang-In,KRX, Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device.
  98. Habuka Hitoshi,JPX ; Mayuzumi Masanori,JPX ; Tate Naoto ; Katayama Masatake,JPX, Method of chemical vapor deposition and reactor therefor.
  99. Tsai Charles Su-Chang, Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation.
  100. Sergey D. Lopatin ; Carl Galewski ; Takeshi T. N. Nogami JP, Method of copper interconnect formation using atomic layer copper deposition.
  101. Eres Djula (Knoxville TN) Sharp Jeffrey W. (Knoxville TN), Method of digital epilaxy by externally controlled closed-loop feedback.
  102. Nishizawa Jun-ichi (Miyagi JPX) Kurabayashi Toru (Miyagi JPX), Method of epitaxially growing compound crystal and doping method therein.
  103. Nishizawa Jun-ichi (6-16 ; Komegafukuro 1-chome ; Aoba-ku Sendai-shi ; Miyagi-ken JPX) Kurabayashi Toru (Sendai JPX), Method of epitaxially growing semiconductor crystal using light as a detector.
  104. Aoki Kenji (Tokyo JPX) Shimbo Masafumi (Tokyo JPX), Method of fabricating MOS field effect transistor.
  105. Naito Yasushi,JPX ; Ito Yutaka,JPX ; Hirofuji Yuichi,JPX, Method of fabricating a high-density dynamic random-access memory.
  106. Okamura Kenji (Tokyo JPX) Zenke Masanobu (Tokyo JPX) Den Yasuhide (Tokyo JPX), Method of fabricating semiconductor devices.
  107. Horiike Yasuhiro (Hiroshima JPX) Kawamura Kohei (Tokyo JPX), Method of forming dielectric film for semiconductor devices.
  108. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Method of forming graded thin films using alternating pulses of vapor phase reactants.
  109. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  110. Hyun-Seok Lim KR; Sang-Bom Kang KR; In-Sang Jeon KR; Gil-Heyun Choi KR, Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same.
  111. Kang Sang-bom,KRX ; Park Chang-soo,KRX ; Chae Yun-sook,KRX ; Lee Sang-in,KRX, Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same.
  112. Sang-bum Kang KR; Yun-sook Chae KR; Sang-in Lee KR; Hyun-seok Lim KR; Mee-young Yoon KR, Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same.
  113. Yeong-Kwan Kim KR; Young-Wook Park KR; Seung-Hwan Lee KR, Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane.
  114. Ivo Raaijmakers NL; Yong-Bae Kim ; Marko Tuominen FI; Suvi P. Haukka FI, Method of forming ultrathin oxide layer.
  115. Pessa Markus (Tampere FIX) Asonen Harry (Tampere FIX) Varrio Jukka (Tampere FIX) Salokatve Arto (Tampere FIX), Method of growing GaAs films on Si or GaAs substrates using ale.
  116. Soininen Erkki Lauri,FIX ; Harkonen Gitte,FIX ; Lahonen Marja,FIX ; Tornqvist Runar,FIX ; Viljanen Juha,FIX, Method of growing a ZnS:Mn phosphor layer for use in thin-film electroluminescent components.
  117. Otsuka Nobuyuki (Kawasaki JPX), Method of growing a semiconductor layer and a fabrication method of a semiconductor device using such a semiconductor la.
  118. Mochizuki Kouji (Isehara JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy.
  119. Shimbo Masafumi (31-1 ; Kameido 6-chome Koto-ku ; Tokyo JPX), Method of growing compound semiconductor thin film using multichamber smoothing process.
  120. Pekka J. Soininen FI; Kai-Erik Elers FI; Suvi Haukka FI, Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH.
  121. Mochizuki Kouji (Isehara JPX) Ozeki Masashi (Yokohama JPX) Ohtsuka Nobuyuki (Atsugi JPX), Method of growing group III-V compound semiconductor epitaxial layer.
  122. Harkonen Gitte,FIX ; Kervinen Tomi,FIX ; Soininen Erkki,FIX ; Tornqvist Runar,FIX ; Vasama Kirsi,FIX ; Glanz Mario,DEX ; Schumann Herbert,DEX, Method of growing thin film electroluminescent structures.
  123. Turner Norman L. (Mountain View CA) White John MacNeill (Los Gatos CA) Berkstresser David (Los Gatos CA), Method of heating and cooling large area glass substrates.
  124. Graf Volker (Wollerau CHX) Mueller Carl A. (Hedingen CHX), Method of making artificial layered high Tc superconductors.
  125. In-sang Jeon KR; Sang-bom Kang KR; Hyun-seok Lim KR; Gil-heyun Choi KR, Method of manufacturing a barrier metal layer using atomic layer deposition.
  126. Nishizawa Jun-ichi (Sendai JPX) Kurabayashi Toru (Sendai JPX), Method of manufacturing a static induction field-effect transistor.
  127. Nasu Yasuhiro (Sagamihara JPX) Okamoto Kenji (Hiratsuka JPX) Watanabe Jun-ichi (Kawasaki JPX) Endo Tetsuro (Atsugi JPX) Soeda Shinichi (Hiratsuka JPX), Method of manufacturing active matrix display device using insulation layer formed by the ale method.
  128. Merchant Sailesh M. ; Misra Sudhanshu ; Roy Pradip K., Method of passivating copper interconnects in a semiconductor.
  129. Olubunmi O. Adetutu ; Yeong-Jyh T. Lii ; Paul A. Grudowski, Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same.
  130. Aoki Kenji,JPX ; Akamine Tadao,JPX ; Kojima Yoshikazu,JPX, Method of producing a bipolar transistor.
  131. Dautartas Mindaugas F. ; Manchanda Lalita, Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants.
  132. Wilk Glen D., Method to form silicates as high dielectric constant materials.
  133. Major Jo S. ; Welch David F. ; Scifres Donald R., Methods for forming group III-V arsenide-nitride semiconductor materials.
  134. Alessandro Cesare Callegari ; Fuad Elias Doany ; Evgeni Petrovich Gousev ; Theodore Harold Zabel, Methods for forming metal oxide layers with enhanced purity.
  135. Leem Hyeun-Seog,KRX, Methods of forming smooth conductive layers for integrated circuit devices.
  136. Seung-hwan Lee KR; Yeong-kwan Kim KR; Dong-chan Kim KR; Young-wook Park KR, Methods of forming thin films by atomic layer deposition.
  137. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  138. Falconer John L. ; George Steven M. ; Ott Andrew W. ; Klaus Jason W. ; Noble Richard D. ; Funke Hans H., Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition.
  139. Stark Lawrence R. (San Jose CA) Turner Frederick (Sunnyvale CA), Modular wafer transport and processing system.
  140. Yitzchaik Shlomo,ILX ; Burtman Vladimir,ILX, Molecular layer epitaxy method and compositions.
  141. Maydan Dan (Los Altos Hills CA) Somekh Sasson (Redwood City CA) Wang David N. (Cupertino CA) Cheng David (San Jose CA) Toshima Masato (San Jose CA) Harari Isaac (Mountain View CA) Hoppe Peter D. (Sun, Multi-chamber integrated process system.
  142. Sato Junichi (Tokyo JPX) Hasegawa Toshiaki (Kanagawa JPX) Komatsu Hiroshi (Kanagawa JPX), Multi-chamber wafer process equipment having plural, physically communicating transfer means.
  143. Muka Richard S., Multi-level substrate processing apparatus.
  144. Kim Je-Ha,KRX ; Han Seok-Kil,KRX ; Kang Kwang-Yong,KRX, Multi-target manipulator for pulsed laser deposition apparatus.
  145. Maydan Dan ; Somekh Sasson ; Wang David Nin-Kou ; Cheng David ; Toshima Masato ; Harari Isaac ; Hoppe Peter D., Multiple chamber integrated process system.
  146. Doering Kenneth ; Galewski Carl J., Multipurpose processing chamber for chemical vapor deposition processes.
  147. Aspnes David E. (Watchung NJ) Bhat Rajaram (Red Bank NJ) Colas Etienne G. (Asbury Park NJ) Florez Leigh T. (Atlantic Highlands NJ) Harbison James P. (Fair Haven NJ) Studna Amabrose A. (Raritan NJ), Optical control of deposition of crystal monolayers.
  148. Bishop Vernon R. (630 Peachdale La. Duncansville PA 16635), Overhead luminaire.
  149. Randhawa Harbhajan S. (Boulder CO) Buske Jeffrey M. (Boulder CO), Physical vapor deposition dual coating process.
  150. Foley Henry C. (Newark DE) Varrin ; Jr. Robert D. (Newark DE) Sengupta Sourav K. (Newark DE), Plasma-induced, in-situ generation, transport and use or collection of reactive precursors.
  151. Knuuttila Hilkka (Porvoo FIX) Lakomaa Eeva-Liisa (Espoo FIX), Polymerization catalyst for olefines.
  152. Kobayashi Naoki (Iruma JPX) Makimoto Toshiki (Tokorozawa JPX) Horikoshi Yoshiji (Akishima JPX), Preparation process of compound semiconductor.
  153. Boitnott Charles A. (Half Moon Bay CA) Caughran James W. (Lodi CA) Egbert Steve (Palo Alto CA), Process chamber sleeve with ring seals for isolating individual process modules in a common cluster.
  154. Chang Mei (Cupertino CA) Leung Cissy (Fremont CA) Wang David N. (Saratoga CA) Cheng David (San Jose CA), Process for CVD deposition of tungsten layer on semiconductor wafer.
  155. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sandai-shi ; Miyagi-ken JPX) Abe Hitoshi (1-3 ; Otamayashita Sendai JPX) Suzuki Soubei (1-3 ; Otamayashita Sendai-shi ; Miyagi-ken JPX), Process for forming a thin film of silicon.
  156. Nishizawa Junichi (Sendai JPX) Abe Hitoshi (Sendai JPX), Process for growing GaAs monocrystal film.
  157. Comizzoli Robert Benedict ; Dautartas Mindaugas Fernand ; Osenbach John William, Process for passivating semiconductor laser structures with severe steps in surface topography.
  158. Putkonen, Matti, Process for producing oxide thin films.
  159. Matsumoto Takashi (Tokyo JPX), Process for the growth of III-V group compound semiconductor crystal on a Si substrate.
  160. Doering Kenneth ; Galewski Carl J. ; Gadgil Prasad N. ; Seidel Thomas E., Processing chamber for atomic layer deposition processes.
  161. Ackerman John F. (Cheyenne WY), Production of diffuse reflective coatings by atomic layer epitaxy.
  162. Kai-Erik Elers FI; Ville Antero Saanila FI; Sari Johanna Kaipio FI; Pekka Juha Soininen FI, Production of elemental thin films using a boron-containing reducing agent.
  163. Ivo Raaijmakers NL; Pekka T. Soininen FI; Ernst H. A. Granneman NL; Suvi P. Haukka FI, Protective layers prior to alternating layer deposition.
  164. Ofer Sneh, Radical-assisted sequential CVD.
  165. Ofer Sneh, Radical-assisted sequential CVD.
  166. Sneh Ofer, Radical-assisted sequential CVD.
  167. Cooper Clark V. ; Bushnell Paul J. ; Mertell Martin M., Rotary compressor with reduced lubrication sensitivity.
  168. Kitch Vassili, Self-aligned copper interconnect structure and method of manufacturing same.
  169. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitochi (22-11 ; Midorigaoka 1-chome Sendai-shi ; Miyagi-ken JPX), Semiconductor crystal growth apparatus.
  170. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitoshi (Sendai JPX), Semiconductor crystal growth method.
  171. Nishizawa Jun-ichi (6-16 ; Komegafukuro 1-chome Aoka-ku ; Sendai-shi ; Miyagi-ken ; 980 JPX) Kurabayashi Toru (Sendai JPX), Semiconductor device comprising a highspeed static induction transistor.
  172. Mee-Young Yoon KR; Sang-In Lee KR; Hyun-Seok Lim KR, Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer.
  173. Kwon Dong-chul,KRX ; Wee Young-jin,KRX ; Shin Hong-jae,KRX ; Kim Sung-jin,KRX, Semiconductor device having improved metal line structure and manufacturing method therefor.
  174. Imahashi Issei (Yamanashi-ken JPX), Semiconductor processing system.
  175. Arthur Sherman, Sequential chemical vapor deposition.
  176. Sherman Arthur, Sequential chemical vapor deposition.
  177. Tony P. Chiang ; Karl F. Leeser, Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  178. Young Joseph R. ; Rodriguez Benjamin Garcia ; Barry James R., Signal tower controller.
  179. Connell George A. N. (Cupertino CA) Fenner David B. (Menlo Park CA) Boyce James B. (Los Altos CA) Fork David K. (Palo Alto CA), Silicon substrate having an epitaxial superconducting layer thereon and method of making same.
  180. Tepman Avi (Cupertino CA) Grunes Howard (Santa Cruz CA) Somekh Sasson (Los Altos Hills CA) Maydan Dan (Los Altos Hills CA), Staged-vacuum wafer processing system and method.
  181. Yonemitsu Shuji,JPX ; Karino Toshikazu,JPX ; Yoshida Hisashi,JPX ; Watahiki Shinichiro,JPX ; Yoshida Yuji,JPX ; Shimura Hideo,JPX ; Sugimoto Takeshi,JPX ; Aburatani Yukinori,JPX ; Ikeda Kazuhito,JPX, Substrate processing apparatus.
  182. Beaulieu David ; Pippins Michael W., Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer.
  183. Sergey Lopatin, Superconducting damascene interconnected for integrated circuit.
  184. Copel Matthew W. (Katonah NY) Tromp Rudolf M. (Mount Kisco NY), Surfactant-enhanced epitaxy.
  185. Bhandari Gautam ; Baum Thomas H., Tantalum amide precursors for deposition of tantalum nitride on a substrate.
  186. Gautam Bhandari ; Thomas H. Baum, Tantalum amide precursors for deposition of tantalum nitride on a substrate.
  187. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  188. Nakata Yukihiko,JPX ; Fujihara Masaki,JPX ; Date Masahiro,JPX ; Matsuo Takuya,JPX ; Ayukawa Michiteru,JPX ; Itoga Takashi,JPX, Thin-film semiconductor device including a semiconductor film with high field-effect mobility.
  189. John M. Grant ; Olubunmi O. Adetutu ; Yolanda S. Musgrove, Transistor metal gate structure that minimizes non-planarity effects and method of formation.
  190. Goodman Alvin M. (Arlington VA) Yoder Max N. (Falls Church VA), Trenched bipolar transistor structures.
  191. Mohindra Raj ; Bhushan Abhay ; Bhushan Rajiv ; Puri Suraj ; Anderson ; Sr. John H. ; Nowell Jeffrey, Ultra-low particle semiconductor cleaner.
  192. Zoran Krivokapic, Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication.
  193. Ilg Matthias ; Kirchhoff Markus ; Werner Christoph,DEX, Uniform distribution of reactants in a device layer.
  194. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitoshi (22-11 ; Midorigaoka 1-chome Sendai-shi ; Miyagi-ken JPX) Suzuki Soubei (1-3 ; Otamayashita Sendai-shi ; Miyagi, Use of infrared radiation and an ellipsoidal reflection mirror.
  195. Kato Susumu (Isawa-Cho JPX) Yamaguchi Hirofumi (Sudama-Cho JPX), Vacuum process apparaus.
  196. Murota Junichi,JPX ; Ono Shoichi,JPX ; Sakuraba Masao,JPX ; Mikoshiba Nobuo,JPX ; Kurokawa Harushige,JPX ; Ikeda Fumihide,JPX, Vapor depositing method.
  197. Ishizumi Takashi,JPX ; Kaneiwa Shinji,JPX, Vapor growth apparatus and vapor growth method capable of growing good productivity.
  198. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  199. Edwards Richard C. (Ringwood NJ) Kolesa Michael S. (Suffern NY) Ishikawa Hiroichi (Mahwah NJ), Wafer processing cluster tool batch preheating and degassing apparatus.
  200. Thorne James M. (Provo UT) Shurtleff James K. (Sandy UT) Allred David D. (Provo UT) Perkins Raymond T. (Provo UT), X-ray wave diffraction optics constructed by atomic layer epitaxy.
  201. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  202. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium silicon-oxynitride gate dielectric.
  203. Izumi Hirohiko (Sagamihara JPX), .

이 특허를 인용한 특허 (57)

  1. Choi, Kenric T.; Narwankar, Pravin K.; Kher, Shreyas S.; Nguyen, Son T.; Deaton, Paul; Ngo, Khai; Chhabra, Paul; Ouye, Alan H.; Wu, Dien-Yeh (Daniel), Ampoule for liquid draw and vapor draw with a continuous level sensor.
  2. Chen, Ling; Ku, Vincent W.; Chung, Hua; Marcadal, Christophe; Ganguli, Seshadri; Lin, Jenny; Wu, Dien Yeh; Ouye, Alan; Chang, Mei, Apparatus and method for generating a chemical precursor.
  3. Chen,Ling; Ku,Vincent W.; Chang,Mei; Wu,Dien Yeh; Chung,Hua, Apparatus and method for hybrid chemical processing.
  4. Ma, Paul; Shah, Kavita; Wu, Dien-Yeh; Ganguli, Seshadri; Marcadal, Christophe; Wu, Frederick C.; Chu, Schubert S., Apparatus and process for plasma-enhanced atomic layer deposition.
  5. Ma, Paul; Shah, Kavita; Wu, Dien-Yeh; Ganguli, Seshadri; Marcadal, Christophe; Wu, Frederick C.; Chu, Schubert S., Apparatus and process for plasma-enhanced atomic layer deposition.
  6. Ma, Paul; Shah, Kavita; Wu, Dien-Yeh; Ganguli, Seshadri; Marcadal, Christophe; Wu, Frederick C.; Chu, Schubert S., Apparatus and process for plasma-enhanced atomic layer deposition.
  7. Chen, Ling; Ku, Vincent W.; Chang, Mei; Wu, Dien Yeh; Chung, Hua, Apparatus for hybrid chemical processing.
  8. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Apparatus for integration of barrier layer and seed layer.
  9. Lam, Hyman W. H.; Zheng, Bo; Ai, Hua; Jackson, Michael; Yuan, Xiaoxiong; Wang, Hou Gong; Umotoy, Salvador P.; Yu, Sang Ho, Apparatuses and methods for atomic layer deposition.
  10. Lam, Hyman W. H.; Zheng, Bo; Ai, Hua; Jackson, Michael; Yuan, Xiaoxiong; Wang, Hougong; Umotoy, Salvador P.; Yu, Sang Ho, Apparatuses and methods for atomic layer deposition.
  11. Lam, Hyman W. H.; Zheng, Bo; Ai, Hua; Jackson, Michael; Yuan, Xiaoxiong; Wang, Hougong; Umotoy, Salvador P.; Yu, Sang Ho, Apparatuses and methods for atomic layer deposition.
  12. Lam, Hyman; Zheng, Bo; Ai, Hua; Jackson, Michael; Yuan, Xiaoxiong (John); Wang, Hou Gong; Umotoy, Salvador P.; Yu, Sang Ho, Apparatuses and methods for atomic layer deposition.
  13. Myo, Nyi Oo; Choi, Kenric; Kher, Shreyas; Narwankar, Pravin; Poppe, Steve; Metzner, Craig R.; Deaten, Paul, Apparatuses for atomic layer deposition.
  14. Castovillo,Paul J.; Basceri,Cem; Derderian,Garo J.; Sandhu,Gurtej S., Atomic layer deposition method.
  15. Castovillo,Paul J.; Basceri,Cem; Derderian,Garo J.; Sandhu,Gurtej S., Atomic layer deposition methods.
  16. Sarigiannis,Demetrius; Derderian,Garo J.; Basceri,Cem; Sandhu,Gurtej S.; Gealy,F. Daniel; Carlson,Chris M., Atomic layer deposition methods.
  17. Sarigiannis,Demetrius; Derderian,Garo J.; Basceri,Cem; Sandhu,Gurtej S.; Gealy,F. Daniel; Carlson,Chris M., Atomic layer deposition methods.
  18. Sarigiannis,Demetrius; Derderian,Garo J.; Basceri,Cem; Sandhu,Gurtej S.; Gealy,F. Daniel; Carlson,Chris M., Atomic layer deposition methods.
  19. Chung, Hua; Wang, Rongjun; Maity, Nirmalya, Atomic layer deposition of barrier materials.
  20. Chung,Hua; Wang,Rongjun; Maity,Nirmalya, Atomic layer deposition of tantalum based barrier materials.
  21. Cuvalci, Olkan; Wu, Dien-Yeh; Yuan, Xiaoxiong, Chemical precursor ampoule for vapor deposition processes.
  22. Nguyen, Son T.; Sangam, Kedarnath; Schwartz, Miriam; Choi, Kenric; Bhat, Sanjay; Narwankar, Pravin K.; Kher, Shreyas; Sharangapani, Rahul; Muthukrishnan, Shankar; Deaton, Paul, Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system.
  23. Chung, Hua; Chen, Ling; Chin, Barry L., Cyclical deposition of refractory metal silicon nitride.
  24. Bhatia,Ritwik; Xia,Li Qun; Peterson,Chad; M'Saad,Hichem, Decreasing the etch rate of silicon nitride by carbon addition.
  25. Khandelwal, Amit; Gelatos, Avgerinos V.; Marcadal, Christophe; Chang, Mei, Deposition and densification process for titanium nitride barrier layers.
  26. Khandelwal, Amit; Gelatos, Avgerinos V.; Marcadal, Christophe; Chang, Mei, Deposition and densification process for titanium nitride barrier layers.
  27. Sarigiannis, Demetrius; Derderian, Garo J.; Basceri, Cem; Sandhu, Gurtej S.; Gealy, F. Daniel; Carlson, Chris M., Deposition methods.
  28. Sarigiannis,Demetrius; Derderian,Garo J.; Basceri,Cem; Sandhu,Gurtej S.; Gealy,F. Daniel; Carlson,Chris M., Deposition methods.
  29. Marsh,Eugene; Vaartstra,Brian; Castrovillo,Paul J.; Basceri,Cem; Derderian,Garo J.; Sandhu,Gurtej S., Deposition methods with time spaced and time abutting precursor pulses.
  30. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  31. Matsuura, Hiroyuki, Formation of silicon nitride film.
  32. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  33. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus for atomic layer deposition.
  34. Yudovsky, Joseph, Gas distribution system for cyclical layer deposition.
  35. Huang,Judy H., In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  36. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  37. Ma, Paul F.; Aubuchon, Joseph F.; Chang, Mei; Kim, Steven H.; Wu, Dien-Yeh; Nakashima, Norman M.; Johnson, Mark; Palakodeti, Roja, In-situ chamber treatment and deposition process.
  38. Ganguli, Seshadri; Chen, Ling; Ku, Vincent W., Method and apparatus for providing precursor gas to a processing chamber.
  39. Ganguli,Seshadri; Chen,Ling; Ku,Vincent W., Method and apparatus for providing precursor gas to a processing chamber.
  40. Ku, Ching-Shun; Lee, Hsin-Yi, Method for atomic layer deposition.
  41. Xi, Ming; Sinha, Ashok; Kori, Moris; Mak, Alfred W.; Lu, Xinliang; Lai, Ken Kaung; Littau, Karl A., Method for depositing tungsten-containing layers by vapor deposition techniques.
  42. Xi,Ming; Sinha,Ashok; Kori,Moris; Mak,Alfred W.; Lu,Xinliang; Lai,Ken Kaung; Littau,Karl A., Method for depositing tungsten-containing layers by vapor deposition techniques.
  43. Park,Chang Soo, Method for fabricating metal interconnection line with use of barrier metal layer formed in low temperature.
  44. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method for forming tungsten materials during vapor deposition processes.
  45. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Method for forming tungsten materials during vapor deposition processes.
  46. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  47. Ganguli, Seshadri; Chen, Ling; Ku, Vincent W., Method for providing gas to a processing chamber.
  48. Ma, Paul; Aubuchon, Joseph F.; Lu, Jiang; Chang, Mei, Method for tuning a deposition rate during an atomic layer deposition process.
  49. Chou, Jing-Pei; Kao, Chien-Teh; Lai, Chiukin; Mosely, Roderick C.; Chang, Mei, Method of TiSiN deposition using a chemical vapor deposition (CVD) process.
  50. Myo, Nyi Oo; Cho, Kenric; Kher, Shreyas; Narwankar, Pravin; Poppe, Steve; Metzner, Craig R.; Deaten, Paul, Methods for atomic layer deposition of hafnium-containing high-K dielectric materials.
  51. Lai, Ken Kaung; Rajagopalan, Ravi; Khandelwal, Amit; Moorthy, Madhu; Gandikota, Srinivas; Castro, Joseph; Gelatos, Avgerinos V.; Knepfler, Cheryl; Jian, Ping; Fang, Hongbin; Huang, Chao-Ming; Xi, Ming; Yang, Michael X.; Chung, Hua; Byun, Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  52. Fareed, Qhalid; Gaska, Remigijus; Shur, Michael, Methods of growing nitride-based film using varying pulses.
  53. Mahajani, Maitreyee; Yudovsky, Joseph; McDougall, Brendan, Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool.
  54. Sato, Taketoshi; Tsuneda, Masayuki, Semiconductor device manufacturing method and substrate processing apparatus.
  55. Cao, Wei; Chung, Hua; Ku, Vincent W.; Chen, Ling, Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor.
  56. Cao, Wei; Chung, Hua; Ku, Vincent; Chen, Ling, Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor.
  57. Gelatos, Avgerinos V.; Lee, Sang-Hyeob; Yuan, Xiaoxiong; Umotoy, Salvador P.; Chang, Yu; Tzu, Gwo-Chuan; Renuart, Emily; Lin, Jing; Lai, Wing-Cheong; Le, Sang Q., Temperature controlled lid assembly for tungsten nitride deposition.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트