$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Apparatus and method for independently schedulable functional units with issue lock mechanism in a processor 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G06F-009/30
출원번호 US-0228929 (2002-08-27)
발명자 / 주소
  • Ahmed, Ashraf
  • Filippo, Michael A.
  • Pickett, James K.
출원인 / 주소
  • Advanced Micro Devices, Inc.
대리인 / 주소
    Meyertons, Hood, Kivlin, Kowert &
인용정보 피인용 횟수 : 76  인용 특허 : 11

초록

A functional unit of a processor may be configured to operate on instructions as either a single, wide functional unit or as multiple, independent narrower units. For example, an execution unit may be scheduled to execute an instruction as a single double-wide execution unit or as two independently

대표청구항

1. A processor, comprising:an instruction scheduler configured to receive instructions and schedule the instructions for issuance, wherein said instructions comprise first instructions specifying a first data type having a first bit-width and second instructions specifying a second data type having

이 특허에 인용된 특허 (11)

  1. Masahito Matsuo JP, Data processor.
  2. Kogge Peter M. (Endicott NY), Dynamic multi-mode parallel processing array.
  3. Kenny John D. (Sunnyvale CA) Lei Emilia V. (Union City CA), Heat regulator for integrated circuits.
  4. Mahalingaiah Rupaka (Austin TX) Hulett Terry (Austin TX), Heuristic clock speed optimizing mechanism and computer system employing the same.
  5. McMinn Brian S., Method and apparatus for tracking power of an integrated circuit.
  6. McMinn Brian S., Method and apparatus for tracking power of an integrated circuit.
  7. Agarwal Ramesh Chandra ; Groves Randall Dean ; Gustavson Fred Gehrung ; Johnson Mark Alan ; Olsson Brett, Method and system for providing a single-instruction, multiple-data execution unit for performing single-instruction, mu.
  8. Evoy David R. ; Rhoden Desi, Microprocessor power control system.
  9. Gifford David K. (Cambridge MA), Parallel processing system with processor array having SIMD/MIMD instruction processing.
  10. Agarwal Rakesh ; Malik Kamran ; Teruyama Tatsuo,JPX, Processor method and apparatus for performing single operand operation and multiple parallel operand operation.
  11. Chiarulli Donald M. (4724 Newcomb Dr. Baton Rouge LA 70808) Rudd W. G. (Dept. of Computer Science Oregon State University Corvallis OR 97331) Buell Duncan A. (1212 Chippenham Dr. Baton Rouge LA 70808, Processor utilizing reconfigurable process segments to accomodate data word length.

이 특허를 인용한 특허 (76)

  1. Abdallah, Mohammad, Accelerated code optimizer for a multiengine microprocessor.
  2. Mahan, Justin Michael; Hutchins, Edward A.; Toksvig, Michael J. M., Address independent shader program loading.
  3. Abdallah, Mohammad A., Apparatus and method for processing an instruction matrix specifying parallel and dependent operations.
  4. Abdallah, Mohammad A., Cache storing data fetched by address calculating load instruction with label used as associated name for consuming instruction to refer.
  5. Karandikar, Ashish; Agarwal, Pooja, Configurable SIMD engine with high, low and mixed precision modes.
  6. Karandikar, Ashish; Gadre, Shirish; Gruner, Frederick R.; Sijstermans, Franciscus W., Context switching on a video processor having a scalar execution unit and a vector execution unit.
  7. Airaud, Cedric Denis Robert; Scalabrino, Luca; Arsanto, Frederic Jean Denis; Schon, Guillaume; Piry, Frederic Claude Marie; Tonnerre, Albin Pierick, Data processing apparatus and method for controlling use of an issue queue to represent an instruction suitable for execution by a wide operand execution unit.
  8. Abdallah, Mohammad, Decentralized allocation of resources and interconnect structures to support the execution of instruction sequences by a plurality of engines.
  9. Abdallah, Mohammad, Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines.
  10. Abdallah, Mohammad, Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines.
  11. Chu, Sam G.; Kaltenbach, Markus; Le, Hung Q.; Leenstra, Jentje; Moreira, Jose E.; Nguyen, Dung Q.; Thompto, Brian W., Independent mapping of threads.
  12. Chu, Sam G.; Kaltenbach, Markus; Le, Hung Q.; Leenstra, Jentje; Moreira, Jose E.; Nguyen, Dung Q.; Thompto, Brian W., Independent mapping of threads.
  13. Wildman, Leon David, Instruction controller to distribute serial and SIMD instructions to serial and SIMD processors.
  14. Abdallah, Mohammad, Interconnect system to support the execution of instruction sequences by a plurality of partitionable engines.
  15. Crow, Franklin C.; Sewall, Jeffrey R., Interrupt handling techniques in the rasterizer of a GPU.
  16. Crow, Franklin C.; Sewall, Jeffrey R., Interrupt handling techniques in the rasterizer of a GPU.
  17. Karandikar, Ashish; Gadre, Shirish; Lew, Stephen D., Latency tolerant system for executing video processing operations.
  18. Brownscheidle, Jeffrey Carl; Chadha, Sundeep; Delaney, Maureen Anne; Le, Hung Qui; Nguyen, Dung Quoc; Thompto, Brian William, Linkable issue queue parallel execution slice for a processor.
  19. Brownscheidle, Jeffrey Carl; Chadha, Sundeep; Delaney, Maureen Anne; Le, Hung Qui; Nguyen, Dung Quoc; Thompto, Brian William, Linkable issue queue parallel execution slice processing method.
  20. Eickemeyer, Richard J.; Hrusecky, David A.; McGlone, Elizabeth A.; Thompto, Brian W.; Van Norstrand, Jr., Albert J., Managing a divided load reorder queue.
  21. Abdallah, Mohammad, Memory fragments for supporting code block execution by using virtual cores instantiated by partitionable engines.
  22. Danskin, John M.; Tamasi, Anthony Michael, Method and system for implementing fragment operation processing across a graphics bus interconnect.
  23. Bowen, Andrew D., Method and system for non stalling pipeline instruction fetching from memory.
  24. Abdallah, Mohammad, Method for dependency broadcasting through a block organized source view data structure.
  25. Abdallah, Mohammad, Method for dependency broadcasting through a source organized source view data structure.
  26. Abdallah, Mohammad, Method for emulating a guest centralized flag architecture by using a native distributed flag architecture.
  27. Abdallah, Mohammad, Method for executing multithreaded instructions grouped into blocks.
  28. Abdallah, Mohammad, Method for executing multithreaded instructions grouped into blocks.
  29. Abdallah, Mohammad, Method for implementing a reduced size register view data structure in a microprocessor.
  30. Abdallah, Mohammad A., Method for implementing a reduced size register view data structure in a microprocessor.
  31. Abdallah, Mohammad, Method for performing dual dispatch of blocks and half blocks.
  32. Abdallah, Mohammad, Method for performing dual dispatch of blocks and half blocks.
  33. Abdallah, Mohammad, Method for populating a source view data structure by using register template snapshots.
  34. Abdallah, Mohammad, Method for populating and instruction view data structure by using register template snapshots.
  35. Abdallah, Mohammad, Method for populating register view data structure by using register template snapshots.
  36. Karandikar, Ashish; Gadre, Shirish; Salek, Amir H., Methods and systems for command acceleration in a video processor via translation of scalar instructions into vector instructions.
  37. Abdallah, Mohammad; Rao, Ravishankar; Avudaiyappan, Karthikeyan, Methods, systems and apparatus for predicting the way of a set associative cache.
  38. Abdallah, Mohammad; Rao, Ravishankar; Avudaiyappan, Karthikeyan, Methods, systems and apparatus for predicting the way of a set associative cache.
  39. Abdallah, Mohammad; Rao, Ravishankar; Avudaiyappan, Karthikeyan, Methods, systems and apparatus for predicting the way of a set associative cache.
  40. Abdallah, Mohammad; Groen, Ankur; Gunadi, Erika; Singh, Mandeep; Rao, Ravishankar, Methods, systems and apparatus for supporting wide and efficient front-end operation with guest-architecture emulation.
  41. Lew, Stephen D.; Karandikar, Ashish; Gadre, Shirish; Sijstermans, Franciscus W., Multi context execution on a video processor.
  42. Yamazaki,Takeshi, Multi-scalar extension for SIMD instruction set processors.
  43. Karandikar, Ashish; Gadre, Shirish; Lew, Stephen D.; Cheng, Christopher T., Multidimensional datapath processing in a video processor.
  44. Garg, Atul; Sharma, Anil, Multistandard hardware video encoder.
  45. Chadha, Sundeep; Cordes, Robert A.; Hrusecky, David A.; Le, Hung Q.; McGlone, Elizabeth A., Operation of a multi-slice processor implementing a load/store unit maintaining rejected instructions.
  46. Chadha, Sundeep; Cordes, Robert A.; Hrusecky, David A.; Le, Hung Q.; McGlone, Elizabeth A., Operation of a multi-slice processor implementing a load/store unit maintaining rejected instructions.
  47. Chadha, Sundeep; Cordes, Robert A.; Hrusecky, David A.; Le, Hung Q.; McGlone, Elizabeth A., Operation of a multi-slice processor implementing a load/store unit maintaining rejected instructions.
  48. Cordes, Robert A.; Hrusecky, David A.; Molnar, Jennifer L.; Paredes, Jose A.; Thompto, Brian W., Operation of a multi-slice processor implementing simultaneous two-target loads and stores.
  49. Cordes, Robert A.; Hrusecky, David A.; Molnar, Jennifer L.; Paredes, Jose A.; Thompto, Brian W., Operation of a multi-slice processor implementing simultaneous two-target loads and stores.
  50. Chadha, Sundeep; Hrusecky, David A.; McGlone, Elizabeth A.; Molnar, Jennifer L., Operation of a multi-slice processor preventing early dependent instruction wakeup.
  51. Fernsler, Kimberly M.; Hrusecky, David A.; Le, Hung Q.; McGlone, Elizabeth A.; Thompto, Brian W., Operation of a multi-slice processor with an expanded merge fetching queue.
  52. Kanuri, Mrudula, Optimal use of buffer space by a storage controller which writes retrieved data directly to a memory.
  53. Ayub, Salma; Chadha, Sundeep; Cordes, Robert Allen; Hrusecky, David Allen; Le, Hung Qui; Nguyen, Dung Quoc; Thompto, Brian William, Parallel slice processor having a recirculating load-store queue for fast deallocation of issue queue entries.
  54. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Parallel slice processor with dynamic instruction stream mapping.
  55. Karandikar, Ashish; Gadre, Shirish; Sijstermans, Franciscus W.; Su, Zhiqiang Jonathan, Pipelined L2 cache for memory transfers for a video processor.
  56. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Processing of multiple instruction streams in a parallel slice processor.
  57. Vamanan, Balajee; Methar, Tukaram; Kanuri, Mrudula; Krishnan, Sreenivas, Processing of read requests in a memory controller using pre-fetch mechanism.
  58. Mejdrich, Eric Oliver; Muff, Adam James; Tubbs, Matthew Ray, Processing unit incorporating vectorizable execution unit.
  59. Mahan, Justin Michael; Hutchins, Edward A.; Kubalska, Ewa M.; Battle, James T., Program sequencer for generating indeterminant length shader programs for a graphics processor.
  60. Lew, Stephen D.; Gadre, Shirish; Karandikar, Ashish; Sijstermans, Franciscus W., Programmable DMA engine for implementing memory transfers and video processing for a video processor.
  61. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Reconfigurable parallel execution and load-store slice processor.
  62. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Reconfigurable processing method with modes controlling the partitioning of clusters and cache slices.
  63. Eisen, Lee Evan; Le, Hung Qui; Leenstra, Jentje; Moreira, Jose Eduardo; Ronchetti, Bruce Joseph; Thompto, Brian William; Van Norstrand, Jr., Albert James, Reconfigurable processor with load-store slices supporting reorder and controlling access to cache slices.
  64. Abdallah, Mohammad, Register file segments for supporting code block execution by using virtual cores instantiated by partitionable engines.
  65. Abdallah, Mohammad, Register file segments for supporting code block execution by using virtual cores instantiated by partitionable engines.
  66. Boersma, Maarten J.; Kaltenbach, Markus; Lang, David; Leenstra, Jentje, Register files for storing data operated on by instructions of multiple widths.
  67. Boersma, Maarten J.; Kaltenbach, Markus; Lang, David; Leenstra, Jentje, Register files for storing data operated on by instructions of multiple widths.
  68. Garg, Atul; Venkatapuram, Prahlad, Rewind-enabled hardware encoder.
  69. Mahan, Justin Michael; Hutchins, Edward A., Shader program instruction fetch.
  70. Abdallah, Mohammad, Single cycle multi-branch prediction including shadow cache for early far branch prediction.
  71. Mahan, Justin Michael; Hutchins, Edward A., Software assisted shader merging.
  72. Su, Zhiqiang Jonathan; Karandikar, Ashish, State machine control for a pipelined L2 cache to implement memory transfers for a video processor.
  73. Gadre, Shirish; Karandikar, Ashish; Lew, Stephen D., Stream processing in a video processor.
  74. Luu, Viet-Tam; Pflughaupt, Russell, Validating a graphics pipeline using pre-determined schedules.
  75. Luick, David A., Vector morphing mechanism for multiple processor cores.
  76. Gadre, Shirish; Karandikar, Ashish; Lew, Stephen D.; Cheng, Christopher T., Video processor having scalar and vector components.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로