$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Formation of a tantalum-nitride layer 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/4763
출원번호 US-0776329 (2001-02-02)
발명자 / 주소
  • Seutter, Sean M.
  • Yang, Michael X.
  • Xi, Ming
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Moser, Patterson &
인용정보 피인용 횟수 : 67  인용 특허 : 165

초록

A method of forming a tantalum-nitride layer (204) for integrated circuit fabrication is disclosed. Alternating or co-reacting pulses of a tantalum containing precursor and a nitrogen containing precursor are provided to a chamber (100) to form layers (305, 307) of tantalum and nitrogen. The nitroge

대표청구항

1. A method of film deposition for integrated circuit fabrication, comprising:chemisorbing a first layer on a substrate, the first layer selected from a first tantalum layer and a first nitride layer; chemisorbing a second layer on the first layer, the second layer different from the first layer, th

이 특허에 인용된 특허 (165)

  1. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices.
  2. Sneh Ofer ; Galewski Carl J., Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition.
  3. Steger Robert J. ; Redeker Fred C., Apparatus and method for cleaning of semiconductor process chamber surfaces.
  4. Yoder Max N. (Falls Church VA), Apparatus for and a method of growing thin films of elemental semiconductors.
  5. Scholz Christoph (Schliersee DEX), Apparatus for atomic layer epitaxial growth.
  6. Wittstock Gerhard (Uetersen DEX), Apparatus for controlling operation of a lapping, honing or polishing machine.
  7. Stall Richard A. (Warren NJ) Tompa Gary S. (Somerville NJ) Gurary Alexander (Bridgewater NJ) Nelson Craig R. (Berkeley Heights NJ), Apparatus for depositing a coating on a substrate.
  8. Kim Yong II,KRX ; Shin Joong Ho,KRX ; Yun Yeo Heung,KRX, Apparatus for deposition of thin films on wafers through atomic layer epitaxial process.
  9. Eres Djula (Knoxville TN) Sharp Jeffrey W. (Knoxville TN), Apparatus for externally controlled closed-loop feedback digital epitaxy.
  10. Soininen Pekka,FIX ; Patteri Janne,FIX, Apparatus for growing thin films.
  11. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Apparatus for performing growth of compound thin films.
  12. Tsai Charles Su-Chang, Apparatus of chemical vapor for producing layer variation by planetary susceptor rotation.
  13. Hyun Kwang-Soo,KRX ; Park Kyung-ho,KRX ; Yoon Neung-goo,KRX ; Choi Kang-jun,KRX ; Jeong Soo-hong,KRX, Atomic layer deposition apparatus for depositing atomic layer on multiple substrates.
  14. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  15. Yoder Max N. (Falls Church VA), Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors.
  16. Yokoyama Haruki (Kanagawa JPX) Shinohara Masanori (Kanagawa JPX), Atomic layer epitaxy method and apparatus.
  17. Sakuma Yoshiki (Kawasaki JPX) Ozeki Masashi (Kawasaki JPX) Ohtuka Nobuyuki (Kawasaki JPX) Kodama Kunihiko (Takarazuka JPX), Atomic layer epitaxy of compound semiconductor.
  18. Sandhu Gurtej Singh, Barrier layer cladding around copper interconnect lines.
  19. McKee Rodney Allen ; Walker Frederick Joseph, CaTiO.sub.3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films.
  20. Erbil Ahmet (Atlanta GA), Chemical vapor deposition of mixed metal oxide coatings.
  21. Skarp Jarmo I. (Helsinki FIX), Combination film, in particular for thin film electroluminescent structures.
  22. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartnetalized substrate processing chamber.
  23. Levine Timothy E. ; Chen Ling ; Chang Mei ; Mosely Roderick C. ; Littau Karl A. ; Raaijmakers Ivo, Construction of a tantalum nitride film on a semiconductor wafer.
  24. Tony P. Chiang ; Karl F. Leeser, Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  25. Arimoto Satoshi (Itami JPX), Crystal growth method.
  26. Chan Lap ; Zheng Jia Zhen,SGX, Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer.
  27. Bang Won B. ; Yieh Ellie ; Pham Thanh, Deposition resistant lining for CVD chamber.
  28. DiMeo ; Jr. Frank ; Bilodeau Steven M. ; Van Buskirk Peter C., Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer.
  29. Wallace Robert M. (Dallas TX) Gnade Bruce E. (Rowlett TX), Directed effusive beam atomic layer epitaxy system and method.
  30. Sunakawa Haruo (Tokyo JPX), Doping III-V compound semiconductor devices with group VI monolayers using ALE.
  31. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  32. Sugiura Kazuhiko,JPX ; Katayama Masayuki,JPX ; Ito Nobuei,JPX, Electroluminescent device and method of producing the same.
  33. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  34. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with composite atomic barrier film and process for making same.
  35. Aspnes David E. (Watchung NJ) Quinn William E. (Middlesex Boro NJ), Ellipsometric control of material growth.
  36. Wollnik Hermann (Fernwald DEX) Klein Christoph (Bad Endbach DEX), Fast timing position sensitive detector.
  37. Imai Masayuki (Kofu JPX) Nishimura Toshiharu (Kofu JPX), Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily.
  38. Watabe Masahiro (Kawasaki JPX), Flow control valve for use in fabrication of semiconductor devices.
  39. Jrgensen Holger (Aachen DEX), Gas inlet for a plurality of reactant gases into reaction vessel.
  40. Schumaker Norman E. (Warren NJ) Stall Richard A. (Warren NJ) Nelson Craig R. (Green Village NJ) Wagner Wilfried R. (Basking Ridge NJ), Gas treatment apparatus and method.
  41. Sunakawa Haruo (Tokyo JPX), Group VI doping of III-V semiconductors during ALE.
  42. Cheng Hwa (Woodbury MN) DePuydt James M. (St. Paul MN) Haase Michael A. (Woodbury MN) Qiu Jun (Woodbury MN), Growth of II VI laser diodes with quantum wells by atomic layer epitaxy and migration enhanced epitaxy.
  43. Nishizawa Junichi (Miyai-ken JPX) Abe Hitoshi (Miyai-ken JPX) Suzuki Soubei (1-3 ; Otamayahshita Sendai-shi ; Miyagi-ken JPX), Growth of doped semiconductor monolayers.
  44. Aftergut Siegfried (Schenectady NY) Ackerman John Frederick (Cheyenne WY), Hermetically sealed radiation imager.
  45. Aftergut Siegfried ; Ackerman John Frederick, Hermetically sealed radiation imager.
  46. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate.
  47. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same.
  48. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same.
  49. Van Hove James M. (Eagan MN) Kuznia Jon N. (Bloomington MN) Olson Donald T. (Roseville MN) Kahn Muhammad A. (White Bear Lake MN) Blasingame Margaret C. (Moundsview MN), High responsivity ultraviolet gallium nitride detector.
  50. Zhao Jun ; Luo Lee ; Jin Xiao Liang ; Wang Jia-Xiang ; Wolff Stefan ; Sajoto Talex, High temperature, high deposition rate process and apparatus for depositing titanium layers.
  51. Gaines James M. (Mohegan Lake NY) Petruzzello John (Carmel NY), II-VI compound semiconductor epitaxial layers having low defects, method for producing and devices utilizing same.
  52. Nishizawa Junichi (Miyagi JPX) Aoki Kenji (Tokyo JPX), Impurity doping method with adsorbed diffusion source.
  53. Bension Rouvain M. (310 Summit Ave. Brookline MA 02146) Truesdale Larry K. (27 Wetherill La. Chester Springs PA 19425), Initiation and bonding of diamond and other thin films.
  54. Helms Dirk (Ahrensburg DEX), Inserting device for vacuum apparatus.
  55. Park In-seon,KRX ; Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Kim Byung-hee,KRX ; Lee Sang-min,KRX ; Park Chang-soo,KRX, Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature.
  56. Urdahl, Randall S.; Narwankar, Pravin K.; Athreya, Shankarrram A.; Sinensky, Asher K.; Mendoza, Andrea M., Integration of CVD tantalum oxide with titanium nitride and tantalum nitride to form MIM capacitors.
  57. Bang Won ; Yieh Ellie ; Pham Thanh, Lid assembly for a process chamber employing asymmetric flow geometries.
  58. Wang Yen-Kun ; Chang Fong ; Pham Thanh ; Plante Jeff, Liquid flow rate estimation and verification by direct liquid measurement.
  59. Li Ting Kai ; Gurary Alexander I. ; Scott Dane C., Liquid vaporizer system and method.
  60. Nguyen Tue ; Hsu Sheng Teng, Low resistance contact between integrated circuit metal levels and method for same.
  61. Mak Alfred ; Lai Kevin ; Leung Cissy ; Sauvage Dennis,FRX, Low resistivity W using B2H6 nucleation step.
  62. Yamamoto Yoshitsugu (Tokyo JPX) Kadoiwa Kaoru (Tokyo JPX), MBE apparatus and gas branch piping apparatus.
  63. Aoki Kenji,JPX ; Takada Ryoji,JPX, MOS field effect transistor and its manufacturing method.
  64. Jrgensen Holger (Aachen DEX) Grter Klaus (Aachen DEX) Deschler Marc (Hauset DEX) Balk Pieter (Aachen DEX), Material-saving process for fabricating mixed crystals.
  65. Aucoin Thomas R. (Ocean NJ) Wittstruck Richard H. (Howell NJ) Zhao Jing (Ellicott MD) Zawadzki Peter A. (Plainfield NJ) Baarck William R. (Fair Haven NJ) Norris Peter E. (Cambridge MA), Method and apparatus for depositing a refractory thin film by chemical vapor deposition.
  66. Jevtic Dusan, Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer pr.
  67. Suntola Tuomo,FIX ; Lindfors Sven,FIX ; Soininen Pekka,FIX, Method and equipment for growing thin films.
  68. Matsumoto Fumio (Miyagi JPX), Method for epitaxial growth of compound semiconductor using MOCVD with molecular layer epitaxy.
  69. Mochizuki Yuji (Tokyo JPX) Chiba Yoshie (Tokyo JPX) Takada Toshikazu (Tokyo JPX) Usui Akira (Tokyo JPX), Method for epitaxial growth of semiconductor crystal by using halogenide.
  70. Liu Jiang (Raleigh NC) Wolter Scott (Zebulon NC) McClure Michael T. (Raleigh NC) Stoner Brian R. (Chapel Hill NC) Glass Jeffrey T. (Apex NC) Hren John J. (Cary NC), Method for forming a diamond coated field emitter and device produced thereby.
  71. Matsumoto Tomotaka (Kawasaki JPX) Inoue Jun (Kawasaki JPX) Ichimura Teruhiko (Kawasaki JPX) Murata Yuji (Kawasaki JPX) Watanabe Junichi (Kawasaki JPX) Nagahiro Yoshio (Kawasaki JPX) Hodate Mari (Kawa, Method for forming a film and method for manufacturing a thin film transistor.
  72. Joo Young-Chang ; Brown Dirk ; Chan Simon S., Method for forming conformal barrier layers.
  73. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  74. Kobayashi Naoki (Iruma JPX) Sugiura Hideo (Katsuta JPX) Horikoshi Yoshiji (Akishima JPX), Method for forming thin films of compound semiconductors by flow rate modulation epitaxy.
  75. Wang Xue-Lun,JPX ; Ogura Mutsuo,JPX, Method for growing Group III atomic layer.
  76. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome ; Sendai-shi Miyagi JPX) Aoki Kenji (Matsudo JPX), Method for growing single crystal thin films of element semiconductor.
  77. Nishizawa Junichi (Sendai JPX) Aoki Kenji (Matsudo JPX), Method for growing single crystal thin films of element semiconductor.
  78. Suntola Tuomo,FIX ; Lindfors Sven,FIX, Method for growing thin films.
  79. Petroff Pierre M. (Santa Barbara CA) Kroemer Herbert (Santa Barbara CA), Method for growing tilted superlattices.
  80. Kao Chien-Teh ; Tsai Kenneth ; Pham Quyen ; Rose Ronald L. ; Augason Calvin R. ; Yudovsky Joseph, Method for improved remote microwave plasma source for use with substrate processing system.
  81. Leem Hyeun-seog,KRX, Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method.
  82. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Lee Sang-min,KRX, Method for manufacturing thin film using atomic layer deposition.
  83. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  84. Gaines James Matthew ; Petruzzello John, Method for producing II-VI compound semiconductor epitaxial layers having low defects.
  85. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  86. Foster Robert F. ; Hillman Joseph T. ; LeBlanc Rene E., Method for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor.
  87. Kang Sang-Bom,KRX ; Lee Sang-In,KRX, Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device.
  88. Habuka Hitoshi,JPX ; Mayuzumi Masanori,JPX ; Tate Naoto ; Katayama Masatake,JPX, Method of chemical vapor deposition and reactor therefor.
  89. Tsai Charles Su-Chang, Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation.
  90. Eres Djula (Knoxville TN) Sharp Jeffrey W. (Knoxville TN), Method of digital epilaxy by externally controlled closed-loop feedback.
  91. Nishizawa Jun-ichi (Miyagi JPX) Kurabayashi Toru (Miyagi JPX), Method of epitaxially growing compound crystal and doping method therein.
  92. Nishizawa Jun-ichi (6-16 ; Komegafukuro 1-chome ; Aoba-ku Sendai-shi ; Miyagi-ken JPX) Kurabayashi Toru (Sendai JPX), Method of epitaxially growing semiconductor crystal using light as a detector.
  93. Aoki Kenji (Tokyo JPX) Shimbo Masafumi (Tokyo JPX), Method of fabricating MOS field effect transistor.
  94. Naito Yasushi,JPX ; Ito Yutaka,JPX ; Hirofuji Yuichi,JPX, Method of fabricating a high-density dynamic random-access memory.
  95. Okamura Kenji (Tokyo JPX) Zenke Masanobu (Tokyo JPX) Den Yasuhide (Tokyo JPX), Method of fabricating semiconductor devices.
  96. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  97. Pessa Markus (Tampere FIX) Asonen Harry (Tampere FIX) Varrio Jukka (Tampere FIX) Salokatve Arto (Tampere FIX), Method of growing GaAs films on Si or GaAs substrates using ale.
  98. Soininen Erkki Lauri,FIX ; Harkonen Gitte,FIX ; Lahonen Marja,FIX ; Tornqvist Runar,FIX ; Viljanen Juha,FIX, Method of growing a ZnS:Mn phosphor layer for use in thin-film electroluminescent components.
  99. Otsuka Nobuyuki (Kawasaki JPX), Method of growing a semiconductor layer and a fabrication method of a semiconductor device using such a semiconductor la.
  100. Mochizuki Kouji (Isehara JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy.
  101. Shimbo Masafumi (31-1 ; Kameido 6-chome Koto-ku ; Tokyo JPX), Method of growing compound semiconductor thin film using multichamber smoothing process.
  102. Mochizuki Kouji (Isehara JPX) Ozeki Masashi (Yokohama JPX) Ohtsuka Nobuyuki (Atsugi JPX), Method of growing group III-V compound semiconductor epitaxial layer.
  103. Harkonen Gitte,FIX ; Kervinen Tomi,FIX ; Soininen Erkki,FIX ; Tornqvist Runar,FIX ; Vasama Kirsi,FIX ; Glanz Mario,DEX ; Schumann Herbert,DEX, Method of growing thin film electroluminescent structures.
  104. Turner Norman L. (Mountain View CA) White John MacNeill (Los Gatos CA) Berkstresser David (Los Gatos CA), Method of heating and cooling large area glass substrates.
  105. Colgan Evan G. (Wappingers Falls NY) Fryer Peter M. (Mamaroneck NY), Method of making Alpha-Ta thin films.
  106. Graf Volker (Wollerau CHX) Mueller Carl A. (Hedingen CHX), Method of making artificial layered high Tc superconductors.
  107. Nishizawa Jun-ichi (Sendai JPX) Kurabayashi Toru (Sendai JPX), Method of manufacturing a static induction field-effect transistor.
  108. Nasu Yasuhiro (Sagamihara JPX) Okamoto Kenji (Hiratsuka JPX) Watanabe Jun-ichi (Kawasaki JPX) Endo Tetsuro (Atsugi JPX) Soeda Shinichi (Hiratsuka JPX), Method of manufacturing active matrix display device using insulation layer formed by the ale method.
  109. Merchant Sailesh M. ; Misra Sudhanshu ; Roy Pradip K., Method of passivating copper interconnects in a semiconductor.
  110. Aoki Kenji,JPX ; Akamine Tadao,JPX ; Kojima Yoshikazu,JPX, Method of producing a bipolar transistor.
  111. Dautartas Mindaugas F. ; Manchanda Lalita, Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants.
  112. Itoh Hitoshi,JPX, Method of selectively depositing a metal film.
  113. Major Jo S. ; Welch David F. ; Scifres Donald R., Methods for forming group III-V arsenide-nitride semiconductor materials.
  114. Falconer John L. ; George Steven M. ; Ott Andrew W. ; Klaus Jason W. ; Noble Richard D. ; Funke Hans H., Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition.
  115. Stark Lawrence R. (San Jose CA) Turner Frederick (Sunnyvale CA), Modular wafer transport and processing system.
  116. Yitzchaik Shlomo,ILX ; Burtman Vladimir,ILX, Molecular layer epitaxy method and compositions.
  117. Maydan Dan (Los Altos Hills CA) Somekh Sasson (Redwood City CA) Wang David N. (Cupertino CA) Cheng David (San Jose CA) Toshima Masato (San Jose CA) Harari Isaac (Mountain View CA) Hoppe Peter D. (Sun, Multi-chamber integrated process system.
  118. Sato Junichi (Tokyo JPX) Hasegawa Toshiaki (Kanagawa JPX) Komatsu Hiroshi (Kanagawa JPX), Multi-chamber wafer process equipment having plural, physically communicating transfer means.
  119. Muka Richard S., Multi-level substrate processing apparatus.
  120. Kim Je-Ha,KRX ; Han Seok-Kil,KRX ; Kang Kwang-Yong,KRX, Multi-target manipulator for pulsed laser deposition apparatus.
  121. Maydan Dan ; Somekh Sasson ; Wang David Nin-Kou ; Cheng David ; Toshima Masato ; Harari Isaac ; Hoppe Peter D., Multiple chamber integrated process system.
  122. Doering Kenneth ; Galewski Carl J., Multipurpose processing chamber for chemical vapor deposition processes.
  123. Aspnes David E. (Watchung NJ) Bhat Rajaram (Red Bank NJ) Colas Etienne G. (Asbury Park NJ) Florez Leigh T. (Atlantic Highlands NJ) Harbison James P. (Fair Haven NJ) Studna Amabrose A. (Raritan NJ), Optical control of deposition of crystal monolayers.
  124. Randhawa Harbhajan S. (Boulder CO) Buske Jeffrey M. (Boulder CO), Physical vapor deposition dual coating process.
  125. Raney Daniel V. ; Heuser Michael Scott ; Jaffe Stephen M. ; Shepard ; Jr. C. B., Plasma jet system.
  126. Foley Henry C. (Newark DE) Varrin ; Jr. Robert D. (Newark DE) Sengupta Sourav K. (Newark DE), Plasma-induced, in-situ generation, transport and use or collection of reactive precursors.
  127. Knuuttila Hilkka (Porvoo FIX) Lakomaa Eeva-Liisa (Espoo FIX), Polymerization catalyst for olefines.
  128. Kobayashi Naoki (Iruma JPX) Makimoto Toshiki (Tokorozawa JPX) Horikoshi Yoshiji (Akishima JPX), Preparation process of compound semiconductor.
  129. Boitnott Charles A. (Half Moon Bay CA) Caughran James W. (Lodi CA) Egbert Steve (Palo Alto CA), Process chamber sleeve with ring seals for isolating individual process modules in a common cluster.
  130. Chang Mei (Cupertino CA) Leung Cissy (Fremont CA) Wang David N. (Saratoga CA) Cheng David (San Jose CA), Process for CVD deposition of tungsten layer on semiconductor wafer.
  131. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sandai-shi ; Miyagi-ken JPX) Abe Hitoshi (1-3 ; Otamayashita Sendai JPX) Suzuki Soubei (1-3 ; Otamayashita Sendai-shi ; Miyagi-ken JPX), Process for forming a thin film of silicon.
  132. Nishizawa Junichi (Sendai JPX) Abe Hitoshi (Sendai JPX), Process for growing GaAs monocrystal film.
  133. Comizzoli Robert Benedict ; Dautartas Mindaugas Fernand ; Osenbach John William, Process for passivating semiconductor laser structures with severe steps in surface topography.
  134. Matsumoto Takashi (Tokyo JPX), Process for the growth of III-V group compound semiconductor crystal on a Si substrate.
  135. Doering Kenneth ; Galewski Carl J. ; Gadgil Prasad N. ; Seidel Thomas E., Processing chamber for atomic layer deposition processes.
  136. Ackerman John F. (Cheyenne WY), Production of diffuse reflective coatings by atomic layer epitaxy.
  137. Sneh Ofer, Radical-assisted sequential CVD.
  138. Cooper Clark V. ; Bushnell Paul J. ; Mertell Martin M., Rotary compressor with reduced lubrication sensitivity.
  139. Kitch Vassili, Self-aligned copper interconnect structure and method of manufacturing same.
  140. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitochi (22-11 ; Midorigaoka 1-chome Sendai-shi ; Miyagi-ken JPX), Semiconductor crystal growth apparatus.
  141. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitoshi (Sendai JPX), Semiconductor crystal growth method.
  142. Nishizawa Jun-ichi (6-16 ; Komegafukuro 1-chome Aoka-ku ; Sendai-shi ; Miyagi-ken ; 980 JPX) Kurabayashi Toru (Sendai JPX), Semiconductor device comprising a highspeed static induction transistor.
  143. Imahashi Issei (Yamanashi-ken JPX), Semiconductor processing system.
  144. Sherman Arthur, Sequential chemical vapor deposition.
  145. Tony P. Chiang ; Karl F. Leeser, Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  146. Young Joseph R. ; Rodriguez Benjamin Garcia ; Barry James R., Signal tower controller.
  147. Connell George A. N. (Cupertino CA) Fenner David B. (Menlo Park CA) Boyce James B. (Los Altos CA) Fork David K. (Palo Alto CA), Silicon substrate having an epitaxial superconducting layer thereon and method of making same.
  148. Tepman Avi (Cupertino CA) Grunes Howard (Santa Cruz CA) Somekh Sasson (Los Altos Hills CA) Maydan Dan (Los Altos Hills CA), Staged-vacuum wafer processing system and method.
  149. Yonemitsu Shuji,JPX ; Karino Toshikazu,JPX ; Yoshida Hisashi,JPX ; Watahiki Shinichiro,JPX ; Yoshida Yuji,JPX ; Shimura Hideo,JPX ; Sugimoto Takeshi,JPX ; Aburatani Yukinori,JPX ; Ikeda Kazuhito,JPX, Substrate processing apparatus.
  150. Beaulieu David ; Pippins Michael W., Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer.
  151. Hausmann Gilbert, Substrate support apparatus and method for fabricating same.
  152. Copel Matthew W. (Katonah NY) Tromp Rudolf M. (Mount Kisco NY), Surfactant-enhanced epitaxy.
  153. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  154. Nakata Yukihiko,JPX ; Fujihara Masaki,JPX ; Date Masahiro,JPX ; Matsuo Takuya,JPX ; Ayukawa Michiteru,JPX ; Itoga Takashi,JPX, Thin-film semiconductor device including a semiconductor film with high field-effect mobility.
  155. Goodman Alvin M. (Arlington VA) Yoder Max N. (Falls Church VA), Trenched bipolar transistor structures.
  156. Mohindra Raj ; Bhushan Abhay ; Bhushan Rajiv ; Puri Suraj ; Anderson ; Sr. John H. ; Nowell Jeffrey, Ultra-low particle semiconductor cleaner.
  157. Ilg Matthias ; Kirchhoff Markus ; Werner Christoph,DEX, Uniform distribution of reactants in a device layer.
  158. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitoshi (22-11 ; Midorigaoka 1-chome Sendai-shi ; Miyagi-ken JPX) Suzuki Soubei (1-3 ; Otamayashita Sendai-shi ; Miyagi, Use of infrared radiation and an ellipsoidal reflection mirror.
  159. Kato Susumu (Isawa-Cho JPX) Yamaguchi Hirofumi (Sudama-Cho JPX), Vacuum process apparaus.
  160. Murota Junichi,JPX ; Ono Shoichi,JPX ; Sakuraba Masao,JPX ; Mikoshiba Nobuo,JPX ; Kurokawa Harushige,JPX ; Ikeda Fumihide,JPX, Vapor depositing method.
  161. Ishizumi Takashi,JPX ; Kaneiwa Shinji,JPX, Vapor growth apparatus and vapor growth method capable of growing good productivity.
  162. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  163. Nogami Takeshi ; Dubin Valery M., Via with barrier layer for impeding diffusion of conductive material from via into insulator.
  164. Edwards Richard C. (Ringwood NJ) Kolesa Michael S. (Suffern NY) Ishikawa Hiroichi (Mahwah NJ), Wafer processing cluster tool batch preheating and degassing apparatus.
  165. Thorne James M. (Provo UT) Shurtleff James K. (Sandy UT) Allred David D. (Provo UT) Perkins Raymond T. (Provo UT), X-ray wave diffraction optics constructed by atomic layer epitaxy.

이 특허를 인용한 특허 (67)

  1. Metzner, Craig R.; Kher, Shreyas S.; Gopal, Vidyut; Han, Shixue; Athreya, Shankarram A., ALD metal oxide deposition process using direct oxidation.
  2. Metzner, Craig R.; Kher, Shreyas S.; Gopal, Vidyut; Han, Shixue; Athreya, Shankarram A., ALD metal oxide deposition process using direct oxidation.
  3. Chen,Ling; Ku,Vincent W.; Chang,Mei; Wu,Dien Yeh; Chung,Hua, Apparatus and method for hybrid chemical processing.
  4. Ma, Paul; Shah, Kavita; Wu, Dien-Yeh; Ganguli, Seshadri; Marcadal, Christophe; Wu, Frederick C.; Chu, Schubert S., Apparatus and process for plasma-enhanced atomic layer deposition.
  5. Ma, Paul; Shah, Kavita; Wu, Dien-Yeh; Ganguli, Seshadri; Marcadal, Christophe; Wu, Frederick C.; Chu, Schubert S., Apparatus and process for plasma-enhanced atomic layer deposition.
  6. Ma, Paul; Shah, Kavita; Wu, Dien-Yeh; Ganguli, Seshadri; Marcadal, Christophe; Wu, Frederick C.; Chu, Schubert S., Apparatus and process for plasma-enhanced atomic layer deposition.
  7. Chen, Ling; Ku, Vincent W.; Chang, Mei; Wu, Dien Yeh; Chung, Hua, Apparatus for hybrid chemical processing.
  8. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Apparatus for integration of barrier layer and seed layer.
  9. Myo, Nyi Oo; Choi, Kenric; Kher, Shreyas; Narwankar, Pravin; Poppe, Steve; Metzner, Craig R.; Deaten, Paul, Apparatuses for atomic layer deposition.
  10. Johnston, Steven W.; Spurgin, Kerry; Peterson, Brennan L., Atomic layer deposited tantalum containing adhesion layer.
  11. Johnston, Steven W.; Spurgin, Kerry; Peterson, Brennan L., Atomic layer deposited tantalum containing adhesion layer.
  12. Chung, Hua; Wang, Rongjun; Maity, Nirmalya, Atomic layer deposition of barrier materials.
  13. Chung,Hua; Wang,Rongjun; Maity,Nirmalya, Atomic layer deposition of tantalum based barrier materials.
  14. Nguyen, Son T.; Sangam, Kedarnath; Schwartz, Miriam; Choi, Kenric; Bhat, Sanjay; Narwankar, Pravin K.; Kher, Shreyas; Sharangapani, Rahul; Muthukrishnan, Shankar; Deaton, Paul, Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system.
  15. Chung, Hua; Chen, Ling; Chin, Barry L., Cyclical deposition of refractory metal silicon nitride.
  16. Yoon, Ki Hwan; Cha, Yonghwa Chris; Yu, Sang Ho; Ahmad, Hafiz Farooq; Wee, Ho Sun, Deposition methods for barrier and tungsten materials.
  17. Lee, Wei Ti; Wang, Yen-Chih; Hassan, Mohd Fadzli Anwar; Kim, Ryeun Kwan; Park, Hyung Chul; Guo, Ted; Ritchie, Alan A., Deposition processes for titanium nitride barrier and aluminum.
  18. Chen,Ling; Chang,Mei, Deposition processes for tungsten-containing barrier layers.
  19. Chen, Ling; Chung, Hua; Chin, Barry L.; Zhang, Hong, Enhanced copper growth with ultrathin barrier layer for high performance interconnects.
  20. Chen, Ling; Chung, Hua; Chin, Barry L.; Zhang, Hong, Enhanced copper growth with ultrathin barrier layer for high performance interconnects.
  21. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  22. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  23. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  24. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  25. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  26. Lai, Ken K.; Byun, Jeong Soo; Wu, Frederick C.; Srinivas, Ramanujapuran A.; Gelatos, Avgerinos; Chang, Mei; Kori, Moris; Sinha, Ashok K.; Chung, Hua; Fang, Hongbin; Mak, Alfred W.; Yang, Michael X.; Xi, Ming, Formation of composite tungsten films.
  27. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  28. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus for atomic layer deposition.
  29. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman; Chang, Mei, Gas delivery apparatus for atomic layer deposition.
  30. Huang,Judy H., In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  31. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  32. Ma, Paul F.; Aubuchon, Joseph F.; Chang, Mei; Kim, Steven H.; Wu, Dien-Yeh; Nakashima, Norman M.; Johnson, Mark; Palakodeti, Roja, In-situ chamber treatment and deposition process.
  33. Xi, Ming; Sinha, Ashok; Kori, Moris; Mak, Alfred W.; Lu, Xinliang; Lai, Ken Kaung; Littau, Karl A., Method for depositing tungsten-containing layers by vapor deposition techniques.
  34. Xi,Ming; Sinha,Ashok; Kori,Moris; Mak,Alfred W.; Lu,Xinliang; Lai,Ken Kaung; Littau,Karl A., Method for depositing tungsten-containing layers by vapor deposition techniques.
  35. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method for forming tungsten materials during vapor deposition processes.
  36. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Method for forming tungsten materials during vapor deposition processes.
  37. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  38. Ma, Paul; Aubuchon, Joseph F.; Lu, Jiang; Chang, Mei, Method for tuning a deposition rate during an atomic layer deposition process.
  39. Teng,Hsien Che; Lin,Chin Fu, Method of forming a material film.
  40. Edelstein, Daniel C.; Yang, Chih-Chao, Method of forming resistors with controlled resistivity.
  41. Edelstein, Daniel C.; Yang, Chih-Chao, Method of forming tunable resistor with curved resistor elements.
  42. Myo, Nyi Oo; Cho, Kenric; Kher, Shreyas; Narwankar, Pravin; Poppe, Steve; Metzner, Craig R.; Deaten, Paul, Methods for atomic layer deposition of hafnium-containing high-K dielectric materials.
  43. Byun, Jeong Soo, Methods for depositing tungsten after surface treatment.
  44. Lai, Ken Kaung; Rajagopalan, Ravi; Khandelwal, Amit; Moorthy, Madhu; Gandikota, Srinivas; Castro, Joseph; Gelatos, Avgerinos V.; Knepfler, Cheryl; Jian, Ping; Fang, Hongbin; Huang, Chao-Ming; Xi, Ming; Yang, Michael X.; Chung, Hua; Byun, Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  45. Fu, Xinyu; Kashefizadeh, Keyvan; Bodke, Ashish Subhash; Lam, Winsor; Tanaka, Yiochiro; Kim, Wonwoo, Methods of forming a layer for barrier applications in an interconnect structure.
  46. Fu, Xinyu; Kashefizadeh, Keyvan; Bodke, Ashish Subhash; Lam, Winsor; Tanaka, Yiochiro; Kim, Wonwoo, Methods of forming a layer for barrier applications in an interconnect structure.
  47. Anderson, Felix P.; Barkyoumb, Steven P.; Cooney, III, Edward C.; McDevitt, Thomas L.; Murphy, William J.; Strippe, David C., Methods of manufacturing semiconductor devices and a semiconductor structure.
  48. Ditizio, Robert Anthony; Nguyen, Tue; Nguyen, Tai Dung, NanoLayer Deposition process for composite films.
  49. Nguyen, Tue; Nguyen, Tai Dung, Nanolayer deposition process.
  50. Nguyen, Tue; Nguyen, Tai Dung, Nanolayer deposition process.
  51. Nguyen, Tue; Nguyen, Tai Dung, Nanolayer deposition process.
  52. Ditizio, Robert Anthony; Nguyen, Tue; Nguyen, Tai Dung, Nanolayer deposition using bias power treatment.
  53. Mahajani, Maitreyee; Yudovsky, Joseph; McDougall, Brendan, Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool.
  54. Lu, Xinliang; Jian, Ping; Yoo, Jong Hyun; Lai, Ken Kaung; Mak, Alfred W.; Jackson, Robert L.; Xi, Ming, Pulsed deposition process for tungsten nucleation.
  55. Edelstein, Daniel C.; Yang, Chih-Chao, Resistors with controlled resistivity.
  56. Cao, Wei; Chung, Hua; Ku, Vincent W.; Chen, Ling, Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor.
  57. Cao, Wei; Chung, Hua; Ku, Vincent; Chen, Ling, Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor.
  58. Xi, Ming; Yang, Michael; Zhang, Hui, System and method for forming an integrated barrier layer.
  59. Chen, Tianniu; Xu, Chongying; Roeder, Jeffrey F.; Baum, Thomas H., Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta0thin films.
  60. Shah, Kavita; Yang, Haichun; Chu, Schubert S., Tantalum carbide nitride materials by vapor deposition processes.
  61. Lee, Jung-hyun; Kim, Dae-sig; Min, Yo-sep; Cho, Young-jin, Thin film including multi components and method of forming the same.
  62. Edelstein, Daniel C.; Yang, Chih-Chao, Tunable resistor with curved resistor elements.
  63. Edelstein, Daniel C.; Yang, Chih-Chao, Tunable resistor with curved resistor elements.
  64. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Tungsten nitride atomic layer deposition processes.
  65. Lee, Sang-Hyeob; Gelatos, Avgerinos V.; Wu, Kai; Khandelwal, Amit; Marshall, Ross; Renuart, Emily; Lai, Wing-Cheong Gilbert; Lin, Jing, Vapor deposition of tungsten materials.
  66. Shah, Kavita; Yang, Haichun; Chu, Schubert S., Vapor deposition processes for tantalum carbide nitride materials.
  67. Wu, Dien-Yeh; Bajaj, Puneet; Yuan, Xiaoxiong; Kim, Steven H.; Chu, Schubert S.; Ma, Paul F.; Aubuchon, Joseph F., Vortex chamber lids for atomic layer deposition.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로