$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G11C-011/34
출원번호 US-0798753 (2004-03-10)
발명자 / 주소
  • Peng,Jack Zezhong
출원인 / 주소
  • Kilopass Technologies, Inc.
대리인 / 주소
    Perkins Coie LLP
인용정보 피인용 횟수 : 198  인용 특허 : 96

초록

A programmable memory cell comprised of a transistor located at the crosspoint of a column bitline and a row wordline is disclosed. The transistor has its gate formed from the column bitline and its source connected to the row wordline. The memory cell is programmed by applying a voltage potential b

대표청구항

What is claimed is: 1. A non-volatile programmable memory cell formed in a p-type semiconductor substrate and useful in a memory array having column bitlines and row wordlines, the memory cell comprising: a transistor having a p+ doped gate, a gate dielectric between the gate and over said substrat

이 특허에 인용된 특허 (96)

  1. Hsu, Fu-Chang; Tsao, Hsing-Ya; Lee, Peter W.; Wong, Mervyn, 3-step write operation nonvolatile semiconductor one-transistor, nor-type flash EEPROM memory cell.
  2. McCollum John L., Antifuse programmed PROM cell.
  3. Warren William L. ; Devine Roderick A. B.,FRX, Apparatus for sensing patterns of electrical field variations across a surface.
  4. Bro William L., Automated and interactive telecommunications system.
  5. McElroy David J. (Houston TX), Avalanche fuse element in programmable memory.
  6. Schmidt Christopher O., Avalanche programmed floating gate memory cell structure with program element in first polysilicon layer.
  7. Logie Stewart G., Avalanche programmed floating gate memory cell structure with program element in polysilicon.
  8. Chwang Ronald J. C. (Beaverton OR), CMOS static memory cell.
  9. Joseph Sher, Circuits and methods for testing memory cells along a periphery of a memory array.
  10. Freeman Ross H. (San Jose CA), Configurable electrical circuit having configurable logic elements and configurable interconnects.
  11. Leterrier Benoit (Palaiseau FRX), Device comprising means for validating data written in a memory.
  12. Gill Manzur (Rosharon TX), Diffusionless source/drain conductor electrically-erasable, electrically-programmable read-only memory and method for ma.
  13. Leonard Forbes ; Luan C. Tran ; Alan R. Reinberg ; Joseph E. Geusic ; Kie Y. Ahn ; Paul A. Farrar ; Eugene H. Cloud ; David J. McElroy, Dynamic flash memory cells with ultra thin tunnel oxides.
  14. Osman, Fazil I., Dynamic re-programmable PLA.
  15. Mead Carver A. (Pasadena CA) Allen Timothy P. (Palo Alto CA) Faggin Federico (Los Altos Hills CA), Dynamic synapse for neural network.
  16. Hazani Emanuel (1210 Sesame Dr. Sunnyvale CA 94087), EEPROM cell structure and architecture with increased capacitance and with programming and erase terminals shared betwee.
  17. Duvvury Charvaka ; Amerasekera E. Ajith ; Ramaswamy Sridhar, EOS/ESD protection for high density integrated circuits.
  18. Kobayashi Shinichi,JPX ; Terada Yasushi,JPX ; Miyawaki Yoshikazu,JPX ; Nakayama Takeshi,JPX ; Futatsuya Tomoshi,JPX ; Ajika Natsuo,JPX ; Kunori Yuichi,JPX ; Onoda Hiroshi,JPX ; Fukumoto Atsushi,JPX ;, Electrically programmable and erasable nonvolatile semiconductor memory device and operating method therefor.
  19. Reisinger Hans,DEX ; Franosch Martin,DEX ; Schafer Herbert,DEX ; Stengl Reinhard,DEX ; Lehmann Volker,DEX ; Lange Gerrit,DEX ; Wendt Hermann,DEX, Electrically programmable non-volatile memory cell configuration.
  20. Kato Hideo (Kawasaki JPX) Iwahashi Hiroshi (Yokohama JPX) Asano Masamichi (Tokyo JPX) Narita Akira (Kawasaki JPX) Kikuchi Shinichi (Yokohama JPX), Electrically-erasable/programmable nonvolatile semiconductor memory device.
  21. Hamdy Esmat Z. (Fremont CA) Mohsen Amr M. (Saratoga CA) McCollum John L. (Saratoga CA) Chen Shih-Ou (Fremont CA) Chiang Steve S. (Saratoga CA), Electrically-programmable low-impedance anti-fuse element.
  22. Hamdy Esmat Z. (Fremont CA) Mohsen Amr M. (Saratoga CA) McCullum John L. (Saratoga CA), Electrically-programmable low-impedance anti-fuse element.
  23. Johnson Robert R. (Franklin MI), Electronic matrix arrays and method for making the same.
  24. Basire Dominique (Chailly-En-Biere VT FRX) Bhattacharyya Arup (Essex Junction VT) Howard James K. (Morgan Hill CA) Mollier Pierre (Boissise-Le-Roi-Saint Fargeau Ponthierry FRX), Electronically programmable read only memory.
  25. Chaudhary Kamal, FPGA having logic element carry chains capable of generating wide XOR functions.
  26. Cloutier Jocelyn, FPGA-based processor.
  27. Peng, Jack Zezhong, Field programmable gate array based upon transistor gate oxide breakdown.
  28. Kuhlmann, Charles Edward; Lingafelt, Charles Steven; Noel, Jr., Francis Edward; Rincon, Ann Marie; Strole, Norman Clark, Field programmable network processor and method for customizing a network processor.
  29. Andrei Mihnea ; Paul J. Rudeck ; Chun Chen, Flash memory cell for high efficiency programming.
  30. Logie Stewart G. ; Mehta Sunil D. ; Fong Steven J., Floating gate memory apparatus and method for selected programming thereof.
  31. Schmidt Christopher O. ; Mehta Sunil D., Floating gate memory cell structure with programming mechanism outside the read path.
  32. Tang, Stephen; Keshavarzi, Ali; Somasekhar, Dinesh; Paillet, Fabrice; Khellah, Muhammad; Ye, Yibin; De, Vivek, Floating-body DRAM using write word line for increased retention time.
  33. Mohsen, Amr M.; Crook, Dwight L., Fusible link employing capacitor structure.
  34. Andrews William B. ; Britton Barry K. ; Ngai Kai-Kit ; Powell Gary P. ; Singh Satwant ; Spivak Carolyn W. ; Stuby ; Jr. Richard G., Global signal distribution with reduced routing tracks in an FPGA.
  35. Ho Walford W. (Saratoga CA) Chen Chao-Chiang (Cupertino CA) Yang Yuk Y. (Foster City CA), Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array.
  36. McPherson Roger K. (12707 Murphy Rd. ; Lot 68 Stafford TX 77477), High density VMOS electrically programmable ROM.
  37. Peng, Jack Zezhong; Fong, David, High density semiconductor memory cell and memory array using a single transistor.
  38. Peng, Jack Zezhong; Fong, David, High density semiconductor memory cell and memory array using a single transistor.
  39. Peng, Jack Zezhong, High density semiconductor memory cell and memory array using a single transistor having a buried N+ connection.
  40. Fifield, John A.; Houghton, Russell J.; Tonti, William R., High impedance antifuse.
  41. Hirotaka Nishizawa JP; Yosuke Yukawa JP; Takashi Totsuka JP, IC card.
  42. Or-Bach Zvi, Integrated circuits which employ look up tables to provide highly efficient logic cells and logic functionalities.
  43. Caywood John M., Low voltage single CMOS electrically erasable read-only memory.
  44. Wada Toshio (Sagamihara JPX) Iwasa Shoichi (Sagamihara JPX), MOS memory device.
  45. Van Buskirk Michael A. (San Jose CA) Briner Michael (San Jose CA), Memory architecture for a three volt flash EEPROM.
  46. Rotier Michael J. (Sunnyvale CA) Huffman William A. (Santa Cruz CA), Method and apparatus for upgrading a central processing unit and existing memory structure in a computer system.
  47. Sharma Umesh (Austin TX) Hayden Jim (Austin TX) Kirsch Howard C. (Austin TX), Method for forming a nonvolatile memory device.
  48. McConnell, David A.; Dasari, Ajithkumar V.; Mason, Martin T., Method for implementing a physical design for a dynamically reconfigurable logic circuit.
  49. Bang David ; Xiang Qi, Method for quantifying ultra-thin dielectric reliability: time dependent dielectric wear-out.
  50. Chiu Te-Long (San Jose CA), Method of fabricating an MOS memory array having electrically-programmable and electrically-erasable storage devices inc.
  51. Mehta Sunil D., Method of fabricating, programming, and erasing a dual pocket two sided program/erase non-volatile memory cell.
  52. Mehta Sunil D., Method of forming a non-volatile memory device.
  53. McPherson Roger K. (Stafford TX), Method of making high density VMOS electrically-programmable ROM.
  54. Mohsen Amr M. (Saratoga CA) Hamdy Esmat Z. (Fremont CA) McCollum John L. (Saratoga CA), Method of making programmable low impedance interconnect diode element.
  55. Eriguchi Koji (Osaka JPX) Uraoka Yukiharu (Nara JPX), Method of presuming life time of semiconductor device.
  56. Jeung, Seong-ho, Methods of reading and/or writing data to memory devices including virtual ground lines and/ or multiple write circuits and related devices.
  57. Mehta Sunil D., Non-volatile memory cell having dual avalanche injection elements.
  58. Kawakubo Takashi,JPX ; Abe Kazuhide,JPX ; Takashima Daisaburo,JPX, Non-volatile semiconductor integrated memory device.
  59. Yamada Kouichi,JPX, Non-volatile semiconductor memory device.
  60. Takebuchi Masataka (Yokohama JPX), Nonvolatile semiconductor memory device having tunnel insulating film structure.
  61. Koga Shinichi (Nagasaki JPX), One time programmable read only memory programmed by destruction of insulating layer.
  62. Philippe Candelier FR; Jean-Pierre Schoellkopf FR, One-time programmable memory cell in CMOS technology.
  63. Kawakami Minoru,JPX ; Yano Mitsuhiro,JPX ; Yamashita Yasunori,JPX ; Souno Hidetoshi,JPX, Power semiconductor device and method for manufacturing the same.
  64. Holmberg Scott (Milford MI) Flasck Richard A. (Rochester MI), Programmable cell for use in programmable electronic arrays.
  65. Pileggi, Larry; Schmit, Herman, Programmable gate array based on configurable metal interconnect vias.
  66. Kolze Paige A. ; Apland James A., Programmable integrated circuit having shared programming conductors between columns of logic modules.
  67. El Gamal Abbas (Palo Alto CA) El-Ayat Khaled A. (Cupertino CA) Mohsen Amr (Saratoga CA), Programmable interconnect architecture.
  68. Dharmarajan Kuthanur R. (Sunnyvale CA) El-Ayat Khaled A. (Cupertino CA) Bakker Gregory W. (Sunnyvale CA), Programmable interconnect architecture employing leaky programmable elements.
  69. Gordon Kathryn E. ; Wong Richard J., Programmable interconnect structures and programmable integrated circuits.
  70. Gordon Kathryn E. ; Wong Richard J., Programmable interconnect structures and programmable integrated circuits.
  71. Bertolet Allan Robert ; Clinton Kim P.N. ; Fuller Christine Marie ; Gould Scott Whitney ; Hartman Steven Paul ; Iadanza Joseph Andrew ; Keyser Frank Ray ; Millham Eric Ernest ; Reny Timothy Shawn ; W, Programmable inverter circuit used in a programmable logic cell.
  72. Baxter, Glenn A., Programmable logic device structures in standard cell devices.
  73. Mohsen Amr M. (Saratoga CA) Hamdy Esmat Z. (Fremont CA) McCullum John L. (Saratoga CA), Programmable low impedance anti-fuse element.
  74. Mohsen Amr M. (Saratoga CA) Hamdy Esmat Z. (Fremont CA) McCullum John L. (Saratoga CA), Programmable low impedance anti-fuse element.
  75. Leonard Forbes, Programmable low voltage decode circuits with ultra-thin tunnel oxides.
  76. Kolze Paige A., Programming architecture for a programmable integrated circuit employing antifuses.
  77. Kolze Paige A., Programming architecture for a programmable integrated circuit employing antifuses.
  78. Lin, Chaun, Pulse voltage breakdown (VBD) technique for inline gate oxide reliability monitoring.
  79. Rupp Charle R., Reconfigurable computer architecture for use in signal processing applications.
  80. Peng, Jack Zezhong, Reprogrammable non-volatile memory using a breakdown phenomena in an ultra-thin dielectric.
  81. Komatsu Hiroshi,JPX, Semiconductor device and manufacturing method thereof.
  82. Tomoyuki Furuhata JP, Semiconductor device containing MOS elements and method of fabricating the same.
  83. Koike Norio,JPX, Semiconductor device evaluation method, method of controlling the semiconductor device production processes and recording medium.
  84. Agata, Masashi; Takahashi, Kazunari, Semiconductor memory device.
  85. Takeguchi Tetsuji (Kawasaki JPX), Semiconductor memory device adapted to carry out operation test.
  86. Chern Geeing-Chuan, Single poly non-volatile memory having a PMOS write path and an NMOS read path.
  87. Kuo Clinton C. K. (Austin TX), Single transistor cell for electrically-erasable programmable read-only memory and array thereof.
  88. Kowshik Vikram (Fremont CA), Single-transistor electrically-alterable switch employing fowler nordheim tunneling for program and erase.
  89. Ahn Kie Y. ; Forbes Leonard, Structure and method for dual gate oxide thicknesses.
  90. Ghilardelli Andrea,ITX ; Ghezzi Stefano,ITX ; Commodaro Stefano,ITX ; Maccarrone Marco,ITX, Switching circuit having an output voltage varying between a reference voltage and a negative voltage.
  91. Worley Eugene Robert, Three transistor multi-state dynamic memory cell for embedded CMOS logic applications.
  92. Jung-Cheun Lien ; Sheng Feng ; Eddy C. Huang ; Chung-Yuan Sun ; Tong Liu ; Naihui Liao TW, Tileable field-programmable gate array architecture.
  93. Wei Hon-Sco,TWX ; Lin Yen-Tai,TWX, Triple plate capacitor and method for manufacturing.
  94. Kowshik Vikram (Fremont CA), Two-transistor zero-power electrically-alterable non-volatile latch.
  95. Kwong Dim-Lee (Austin TX) Yoon Giwan (Austin TX) Kim Jonghan (Austin TX) Han Liang-Kai (Austin TX) Yan Jiang (Austin TX), Ultra thin dielectric for electronic devices and method of making same.
  96. Elgamal Abbas (Palo Alto CA) El-Ayat Khaled A. (Cupertino CA) Mohsen Amr (Saratoga CA), User programmable integrated circuit interconnect architecture and test method.

이 특허를 인용한 특허 (198)

  1. Kim, Sung Su, Anti-fuse array of semiconductor device and method for operating the same.
  2. Dosluoglu,Taner; McCaffrey,Nathaniel Joseph, CMOS pixel with dual gate PMOS.
  3. Becker, Scott T., Cell circuit and layout with linear finfet structures.
  4. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  5. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  6. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  7. Becker, Scott T.; Smayling, Michael C.; Gandhi, Dhrumil; Mali, Jim; Lambert, Carole; Quandt, Jonathan R.; Fox, Daryl, Circuits with linear finfet structures.
  8. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  9. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  10. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  11. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined having diffusion regions of common node on opposing sides of same gate electrode track with at least two non-inner positioned gate contacts.
  12. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined on three gate electrode tracks with diffusion regions of common node on opposing sides of same gate electrode track.
  13. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined on two gate electrode tracks.
  14. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track.
  15. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track and gate node connection through single interconnect layer.
  16. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit including offset inner gate contacts.
  17. Becker, Scott T.; Smayling, Michael C., Dynamic array architecture.
  18. Becker,Scott T.; Smayling,Michael C., Dynamic array architecture.
  19. Becker, Scott T.; Smayling, Michael C., Electrodes of transistors with at least two linear-shaped conductive structures of different length.
  20. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  21. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  22. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  23. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  24. Becker, Scott T.; Smayling, Michael C.; Gandhi, Dhrumil; Mali, Jim; Lambert, Carole; Quandt, Jonathan R.; Fox, Daryl, Finfet transistor circuit.
  25. Nygaard, Paul A., High density single-transistor antifuse memory cell.
  26. Fifield,John A.; Houghton,Russell J.; Tonti,William R., High impedance antifuse.
  27. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  28. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  29. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  30. Smayling, Michael C., Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods.
  31. Becker, Scott T.; Smayling, Michael C., Integrated circuit device and associated layout including gate electrode level region of 965 NM radius with linear-shaped conductive segments on fixed pitch.
  32. Becker, Scott T.; Smayling, Michael C., Integrated circuit device and associated layout including linear gate electrodes of different transistor types next to linear-shaped non-gate conductive segment.
  33. Becker, Scott T.; Smayling, Michael C., Integrated circuit device and associated layout including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear conductive segment.
  34. Becker, Scott T.; Smayling, Michael C., Integrated circuit device including dynamic array section with gate level having linear conductive features on at least three side-by-side lines and uniform line end spacings.
  35. Becker, Scott T.; Smayling, Michael C., Integrated circuit device with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level.
  36. Becker, Scott T.; Smayling, Michael C., Integrated circuit device with gate level region including at least three linear-shaped conductive segments having offset line ends and forming three transistors of first type and one transistor of second type.
  37. Becker, Scott T.; Smayling, Michael C., Integrated circuit device with gate level region including non-gate linear conductive segment positioned within 965 nanometers of four transistors of first type and four transistors of second type.
  38. Becker, Scott T.; Smayling, Michael C., Integrated circuit device with linearly defined gate electrode level region and shared diffusion region of first type connected to shared diffusion region of second type through at least two interconnect levels.
  39. Becker, Scott T.; Smayling, Michael C., Integrated circuit having gate electrode level region including at least four linear-shaped conductive structures with some outer-contacted linear-shaped conductive structures having larger outer extending portion than inner extending portion.
  40. Becker, Scott T.; Smayling, Michael C., Integrated circuit having gate electrode level region including at least seven linear-shaped conductive structures at equal pitch including linear-shaped conductive structure forming transistors of two different types and at least three linear-shaped conductive structures having aligned ends.
  41. Becker, Scott T.; Smayling, Michael C., Integrated circuit including a linear-shaped conductive structure forming one gate electrode and having length greater than or equal to one-half the length of linear-shaped conductive structure forming two gate electrodes.
  42. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length.
  43. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length.
  44. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes and including four conductive contacting structures having at least two different connection distances.
  45. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two different extension distances beyond conductive contacting structures.
  46. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two linear-shaped conductive structures of different length.
  47. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least three linear-shaped conductive structures at equal pitch including linear-shaped conductive structure having non-gate portion length greater than gate portion length.
  48. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least three linear-shaped conductive structures of different length each forming gate of different transistor.
  49. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within at least nine gate level feature layout channels.
  50. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within at least twelve gate level feature layout channels.
  51. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels.
  52. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least one gate level feature extending into adjacent gate level feature layout channel.
  53. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level feature extensions beyond contact.
  54. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level features inner extensions beyond gate electrode.
  55. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through another transistor forming gate level feature.
  56. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through gate level feature.
  57. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with electrical connection of cross-coupled transistors through same interconnect layer.
  58. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  59. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships.
  60. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  61. Becker, Scott T., Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications.
  62. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications.
  63. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position specifications.
  64. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position, alignment, and offset specifications.
  65. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate electrode placement specifications.
  66. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate level feature layout channel including single transistor.
  67. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with other transistors positioned between cross-coupled transistors.
  68. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with outer positioned gate contacts.
  69. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with serially connected transistors.
  70. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature.
  71. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature.
  72. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  73. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with two inside positioned gate contacts and two outside positioned gate contacts and electrical connection of cross-coupled transistors through same interconnect layer.
  74. Becker, Scott T., Integrated circuit including cross-coupled transistors having two complementary pairs of co-aligned gate electrodes with offset contacting structures positioned between transistors of different type.
  75. Becker, Scott T., Integrated circuit including cross-coupled transistors with two transistors of different type formed by same gate level structure and two transistors of different type formed by separate gate level structures.
  76. Becker, Scott T., Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature.
  77. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature.
  78. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled trasistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset relationships and electrical connection of cross-coupled transistors through same interconnect layer.
  79. Becker, Scott T.; Smayling, Michael C., Integrated circuit including gate electrode conductive structures with different extension distances beyond contact.
  80. Becker, Scott T.; Smayling, Michael C., Integrated circuit including gate electrode level region including at least four linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type.
  81. Becker, Scott T.; Smayling, Michael C., Integrated circuit including gate electrode level region including at least seven linear-shaped conductive structures of equal length positioned at equal pitch with at least two linear-shaped conductive structures each forming one transistor and having extending portion sized greater than gate portion.
  82. Becker, Scott T.; Smayling, Michael C., Integrated circuit including gate electrode level region including at least three linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type.
  83. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including gate electrode level region including cross-coupled transistors having at least one gate contact located over outer portion of gate electrode level region.
  84. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including gate electrode level region including cross-coupled transistors having gate contacts located over inner portion of gate electrode level region and offset gate level feature line ends.
  85. Becker, Scott T.; Smayling, Michael C., Integrated circuit including linear gate electrode structures having different extension distances beyond contact.
  86. Becker, Scott T.; Smayling, Michael C., Integrated circuit including linear-shaped conductive structures that have gate portions and extending portions of different size.
  87. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode conductive structures having offset ends.
  88. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode level including at least four linear-shaped conductive structures of equal length and equal pitch with linear-shaped conductive structure forming one transistor.
  89. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode level including at least six linear-shaped conductive structures forming gate electrodes of transisters with at least one pair of linear-shaped conductive structures having offset ends.
  90. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode level region including at least four linear-shaped conductive structures forming gate electrodes of transistors and including extending portions of at least two different sizes.
  91. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode level region including multiple linear-shaped conductive structures forming gate electrodes of transistors and including uniformity extending portions of different size.
  92. Becker, Scott T.; Smayling, Michael C., Integrated circuit with offset line end spacings in linear gate electrode level.
  93. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit within semiconductor chip including cross-coupled transistor configuration.
  94. Becker, Scott T.; Mali, Jim; Lambert, Carole, Linear gate level cross-coupled transistor device with non-overlapping PMOS transistors and non-overlapping NMOS transistors relative to directions of gate electrodes.
  95. Kornachuk, Stephen, Memory timing apparatus and associated methods.
  96. Blair, William A.; Barnes, Bruce E.; Poirier, David A., Method and apparatus to detect transponder tagged objects, for example during medical procedures.
  97. Becker, Scott T.; Smayling, Michael C., Method for fabricating integrated circuit having at least three linear-shaped gate electrode level conductive features of equal length positioned side-by-side at equal pitch.
  98. Becker, Scott T.; Smayling, Michael C., Method for fabricating integrated circuit having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch.
  99. Becker, Scott T.; Smayling, Michael C., Method for fabricating integrated circuit including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear condcutive segment.
  100. Becker, Scott T.; Smayling, Michael C., Method for fabricating integrated circuit with gate electrode level portion including at least two complementary transistor forming linear conductive segments and at least one non-gate linear conductive segment.
  101. Becker, Scott T.; Smayling, Michael C., Method for fabricating integrated circuit with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level.
  102. Becker, Scott T.; Smayling, Michael C., Method of fabricating integrated circuit including at least six linear-shaped conductive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length.
  103. Fifield, John A.; Houghton, Russell J.; Tonti, William R., Method of forming a high impedance antifuse.
  104. Novosel, Walter; Sieg, Ethan; Craig, Gary; Novosel, David; Novosel, legal representative, Elaine, Method of sensing a programmable non-volatile memory element.
  105. Blair, William A.; Poirier, David A.; Crump, Curtis, Method, apparatus and article for detection of transponder tagged objects, for example during surgery.
  106. Smayling, Michael C.; McAweeney, Michael A.; Becker, Scott T., Methods and systems for process compensation technique acceleration.
  107. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and layouts implementing the Same.
  108. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and layouts implementing the same.
  109. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and semiconductor devices implementing the same.
  110. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  111. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  112. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  113. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  114. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  115. Reed, Brian; Smayling, Michael C.; Becker, Scott T., Methods for controlling microloading variation in semiconductor wafer layout and fabrication.
  116. Smayling, Michael C.; Becker, Scott T., Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same.
  117. Reed, Brian; Smayling, Michael C.; Hong, Joseph N.; Fairbanks, Stephen; Becker, Scott T., Methods for defining and utilizing sub-resolution features in linear topology.
  118. Hong, Joseph; Kornachuk, Stephen; Becker, Scott T., Methods for defining contact grid in dynamic array architecture.
  119. Becker, Scott T.; Smayling, Michael C., Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same.
  120. Becker, Scott T.; Smayling, Michael C., Methods for designing semiconductor device with dynamic array section.
  121. Smayling, Michael C.; Becker, Scott T., Methods for linewidth modification and apparatus implementing the same.
  122. Smayling, Michael C.; Becker, Scott T., Methods for linewidth modification and apparatus implementing the same.
  123. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  124. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  125. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  126. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  127. Becker, Scott T.; Smayling, Michael C., Methods for risk-informed chip layout generation.
  128. Smayling, Michael C.; Becker, Scott T., Methods, structures and designs for self-aligning local interconnects used in integrated circuits.
  129. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  130. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  131. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  132. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  133. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  134. Novosel, Walter; Sieg, Ethan; Fiscus, Timothy; Novosel, David; Novosel, legal representative, Elaine, Multiple time programmable non-volatile memory element.
  135. Novosel, Walter; Sieg, Ethan; Craig, Gary; Novosel, David; Novosel, legal representative, Elaine, Non-volatile memory element integratable with standard CMOS circuitry.
  136. Luan, Harry S.; He, Yue-Song; Wong, Ting-Wah, One-time programmable memory and method for making the same.
  137. Luan, Harry Shengwen, One-time programmable memory and method for making the same.
  138. Luan, Harry Shengwen, One-time programmable memory and method for making the same.
  139. Luan, Harry Shengwen, One-time programmable memory and method for making the same.
  140. Wang,Shih Chen; Chen,Hsin Ming; Lu,Chun Hung; Ho,Ming Chou; Shen,Shih Jye; Hsu,Ching Hsiang, Operation method of non-volatile memory.
  141. Chong, Lit-Ho; Tsai, Wen-Jer; Ou, Tien-Fan; Huang, Jyun-Siang, Operation methods for memory cell and array for reducing punch through leakage.
  142. Chong, Lit-Ho; Tsai, Wen-Jer; Ou, Tien-Fan; Huang, Jyun-Siang, Operation methods for memory cell and array for reducing punch through leakage.
  143. Chong, Lit-Ho; Tsai, Wen-Jer; Ou, Tien-Fan; Huang, Jyun-Siang, Operation methods for memory cell and array for reducing punch through leakage.
  144. Ou, Tien-Fan; Tsai, Wen-Jer; Huang, Jyun-Siang, Operation methods for memory cell and array thereof immune to punchthrough leakage.
  145. Kornachuk, Stephen; Lambert, Carole; Mali, James; Reed, Brian; Becker, Scott T., Optimizing layout of irregular structures in regular layout context.
  146. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  147. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  148. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  149. Becker, Scott T., Oversized contacts and vias in semiconductor chip defined by linearly constrained topology.
  150. Smayling, Michael C.; Fox, Daryl; Quandt, Jonathan R.; Becker, Scott T., Scalable meta-data objects.
  151. Smayling, Michael C.; Fox, Daryl; Quandt, Jonathan R.; Becker, Scott T., Scalable meta-data objects.
  152. Becker, Scott T.; Smayling, Michael C., Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures.
  153. Lin, Chrong-Jung; Chen, Hsin-Ming; King, Ya-Chin, Semiconductor capacitor.
  154. Becker, Scott T.; Smayling, Michael C., Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid.
  155. Becker, Scott T.; Smayling, Michael C., Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid.
  156. Kornachuk, Stephen; Mali, James; Lambert, Carole; Becker, Scott T.; Reed, Brian, Semiconductor chip including a chip level based on a layout that includes both regular and irregular wires.
  157. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including at least nine linear-shaped conductive structures collectively forming gate electrodes of at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods.
  158. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods.
  159. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including linear-shaped conductive structures having electrical connection areas located within inner region between transistors of different type and associated methods.
  160. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit defined within dynamic array section.
  161. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same.
  162. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same.
  163. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same.
  164. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same.
  165. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including region having cross-coupled transistor configuration with offset electrical connection areas on gate electrode forming conductive structures and at least two different inner extension distances of gate electrode forming conductive structures.
  166. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same.
  167. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having rectangular-shaped gate structures and first metal structures.
  168. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures.
  169. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods.
  170. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode-to-gate electrode connection through single interconnect level and common node connection through different interconnect level.
  171. Kim, Jung Sam, Semiconductor device and method for forming the same.
  172. Becker, Scott T.; Smayling, Michael C., Semiconductor device having at least four side-by-side electrodes of equal length and equal pitch with at least two transistor connections to power or ground.
  173. Becker, Scott T.; Smayling, Michael C., Semiconductor device having at least three linear-shaped electrode level conductive features of equal length positioned side-by-side at equal pitch.
  174. Becker, Scott T.; Smayling, Michael C., Semiconductor device having linear-shaped gate electrodes of different transistor types with uniformity extending portions of different lengths.
  175. Becker, Scott T.; Smayling, Michael C., Semiconductor device having two pairs of transistors of different types formed from shared linear-shaped conductive features with intervening transistors of common type on equal pitch.
  176. Becker, Scott T.; Smayling, Michael C., Semiconductor device including at least six transistor forming linear shapes including at least two different gate contact connection distances.
  177. Becker, Scott T.; Smayling, Michael C., Semiconductor device including at least six transistor forming linear shapes including at least two transistor forming linear shapes having different extension distances beyond gate contact.
  178. Becker, Scott T.; Smayling, Michael C., Semiconductor device including at least six transistor forming linear shapes with at least two transistor forming linear shapes having offset ends.
  179. Becker, Scott T., Semiconductor device including cross-coupled transistors formed from linear-shaped gate level features.
  180. Becker, Scott T.; Smayling, Michael C., Semiconductor device including transistor forming linear shapes including gate portions and extending portions of different size.
  181. Becker, Scott T.; Smayling, Michael C., Semiconductor device including two transistors of first type having gates formed by conductors of different length respectively aligned with two transistors of second type having gates formed by conductors of different length.
  182. Onuma, Takuji; Hidaka, Kenichi; Takaoka, Hiromichi; Kubota, Yoshitaka; Tsuda, Hiroshi; Ishige, Kiyokazu, Semiconductor device with common contact coupling gate wiring integrated with gate electrode of antifuse to diffusion layer.
  183. Becker, Scott T.; Smayling, Michael C., Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos.
  184. Becker, Scott T.; Smayling, Michael C., Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos.
  185. Becker, Scott T.; Smayling, Michael C., Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region and having at least two gate contacts positioned outside separating non-diffusion region.
  186. Becker, Scott T.; Smayling, Michael C., Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region with restricted gate contact placement over separating non-diffusion region.
  187. Becker, Scott T.; Smayling, Michael C., Semiconductor device with gate level including gate electrode conductors for transistors of first type and transistors of second type with some gate electrode conductors of different length.
  188. Becker, Scott T.; Smayling, Michael C., Semiconductor device with gate level including transistors of first type and transistors of second type with corresponding gate contact placement restriction.
  189. Becker, Scott T.; Smayling, Michael C., Semiconductor device with linearly restricted gate level region including four serially connected transistors of first type and four serially connected transistors of second type separated by non-diffusion region.
  190. Becker, Scott T.; Smayling, Michael C., Semiconductor device with linearly restricted gate level region including four transistors of first type and four transistors of second type with gate defining shapes of different length.
  191. Becker, Scott T.; Smayling, Michael C., Semiconductor device with linearly restricted gate level region including two transistors of first type and two transistors of second type with offset gate contacts.
  192. Kornachuk, Stephen, Speculative sense enable tuning apparatus and associated methods.
  193. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  194. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  195. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  196. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  197. Blair, William A., Wirelessly detectable objects for use in medical procedures and methods of making same.
  198. Blair, William A., Wirelessly detectable objects for use in medical procedures and methods of making same.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로