$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Multilevel copper interconnects with low-k dielectrics and air gaps

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-023/48
출원번호 US-0786354 (2004-02-25)
발명자 / 주소
  • Ahn,Kie Y.
  • Forbes,Leonard
출원인 / 주소
  • Micron Technology, Inc.
대리인 / 주소
    Schwegman, Lundberg, Woessner &
인용정보 피인용 횟수 : 12  인용 특허 : 223

초록

Structures and methods are provided for an improved multilevel wiring interconnect in an integrated circuit assembly. The present invention provides for a multilayer copper wiring structure by electroless, selectively deposited copper in a streamlined process which further reduces both intra-level l

대표청구항

What is claimed is: 1. A multilevel wiring interconnect in an integrated circuit, comprising: a number of multilayer metal lines connecting to a number of silicon devices in a substrate; a low dielectric constant insulator in a number of interstices between the number of multilayer metal lines and

이 특허에 인용된 특허 (223)

  1. Wong Lawrence D., Air gap based low dielectric constant interconnect structure and method of making same.
  2. Bothra Subhas, Air gap dielectric in self-aligned via structures.
  3. Takaaki Ioka JP; Tsuneaki Tanabe JP, Alkoxysilane/organic polymer composition for thin insulating film production and use thereof.
  4. Grensing Fritz C. (Perrysburg OH) Marder James M. (Shaker Heights OH) Brophy Jere H. (Chagrin Falls OH), Aluminum alloys containing beryllium and investment casting of such alloys.
  5. Farrar Paul A., Aluminum based alloy bridge structure and method of forming same.
  6. Grant Larry A. (Saratoga CA) Marder James M. (Shaker Heights OH) Wright Wayne L. (San Jose CA), Aluminum-beryllium alloys having high stiffness and low thermal expansion for memory devices.
  7. Bruni Marie-Dominique,FRX, Anode for a flat display screen.
  8. Chen LinLin, Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece.
  9. Xu Zheng ; Forster John ; Yao Tse-Yong, Apparatus for filling apertures in a film layer on a semiconductor substrate.
  10. Chan Lap ; Tee Kheng Chok,MYX ; Ong Kok Keng,SGX ; Seah Chin Hwee,SGX, Area array air gap structure for intermetal dielectric application.
  11. Singhvi Shri ; Rengarajan Suraj ; Ding Peijun ; Yao Gongda, Barrier applications for aluminum planarization.
  12. Hichem M'Saad ; Seon Mee Cho ; Dana Tribula, Barrier layer deposition using HDP-CVD.
  13. Reynolds Glyn J. ; Hillman Joseph T., Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system.
  14. Beyer Klaus D. (Poughkeepsie NY) Ku San-Mei (Poughkeepsie NY) Silvestri Victor J. (Hopewell Junction NY) Yapsir Andrie S. (Pleasant Valley NY), Buried air dielectric isolation of silicon islands.
  15. Lu Jiong Ping ; Hwang Ming ; Anderson Dirk N. ; Kittl Jorge A. ; Tsai Hun-Lian, CVD-based process for manufacturing stable low-resistivity poly-metal gate electrodes.
  16. Takeuchi Yukihisa,JPX ; Kashiwaya Toshikatsu,JPX ; Takahashi Nobuo,JPX, Ceramic element, method for producing ceramic element, display device, relay device and capacitor.
  17. Farkas Janos ; Bajaj Rajeev ; Freeman Melissa ; Watts David K. ; Das Sanjit, Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers.
  18. Lee Chung J. ; Wang Hui ; Foggiato Giovanni Antonio, Chemicals and processes for making fluorinated poly(para-xylylenes).
  19. Ochiai Toshimasa,JPX, Conduction assist member and manufacturing method of the same.
  20. Kaplo Joseph J. (Pittsford NY) Hoge William (Palmyra NY) Lund Craig (Lima NY), Conductive gasket with flame and abrasion resistant conductive coating.
  21. Ogino Tsutomu,JPX ; Komatsu Hiroto,JPX, Connector for display inspection of a liquid crystal display panel and method for the preparation thereof.
  22. Chung Kevin Kwong-Tai, Contact module, as for a smart card, and method for making same.
  23. Uzoh Cyprian E., Continuous highly conductive metal wiring structures and method for fabricating the same.
  24. Takahashi Hideya (Shizuoka JPX), Copper alloy for fine pattern lead frame.
  25. Harper James M. E. (Yorktown Heights NY) Holloway Karen L. (Mount Kisco NY) Kwok Thomas Y. (Westwood NJ), Copper alloy metallurgies for VLSI interconnection structures.
  26. Harper James M. E. (Yorktown Heights NY) Holloway Karen L. (Mount Kisco NY) Kwok Thomas Y. (Westwood NJ), Copper alloy metallurgies for VLSI interconnection structures.
  27. Douglas Monte A. (Coppell TX), Copper dry etch process using organic and amine radicals.
  28. Robinson Karl ; Taylor Ted, Copper electroless deposition on a titanium-containing surface.
  29. Robinson Karl ; Taylor Ted, Copper electroless deposition on a titanium-containing surface.
  30. Robinson Karl ; Taylor Ted, Copper electroless deposition on a titanium-containing surface.
  31. Farrar Paul A., Copper metallurgy in integrated circuits.
  32. Zheng Bo ; Chen Ling ; Mak Alfred ; Chang Mei, Deposition of copper with increased adhesion.
  33. Chen Liang-Yuh ; Tao Rong ; Guo Ted ; Mosely Roderick Craig, Dual damascene metallization.
  34. Chen Liang-Yuh ; Tao Rong ; Guo Ted ; Mosely Roderick Craig, Dual damascene metallization.
  35. Wetzel Jeffrey Thomas, Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation.
  36. Sundin Anders O. (Helsingborg SEX) Bronnvall Wolfgang A. (Hittarp SEX), Electrical conductor of aluminium.
  37. Klein, Rita J., Electroless deposition of doped noble metals and noble metal alloys.
  38. Shacham-Diamand Yosi ; Nguyen Vinh ; Dubin Valery, Electroless deposition of metal films with spray processor.
  39. Lee Chwan-Ying,TWX ; Huang Tzuen-Hsi,TWX, Electroless gold plating method for forming inductor structures.
  40. Alexander S. Kozlov ; Thirumalai Palanisamy ; Dave Narasimhan, Electroless silver plating.
  41. Loftin Rayford A. (Fort Wayne IN), End weighted reed sound transducer.
  42. Nguyen Tue ; Charneski Lawrence J. ; Kobayashi Masato,JPX, Enhanced CVD copper adhesion by two-step deposition process.
  43. Lee Kyu-Woong (Arlington MA) Durschlag Mark S. (Natick MA) Day John (Lexington MA), Evaporated thick metal and airbridge interconnects and method of manufacture.
  44. Tetsuo Matsuda JP; Hisashi Kaneko JP, Film formation method.
  45. Qing Tan ; Stanley Craig Beddingfield ; Douglas G. Mitchell, Fine pitch bumping with improved device standoff and bump volume.
  46. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper and other metals.
  47. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals.
  48. Kermani Ahmad (Fremont CA) Johnsgard Kristian E. (San Jose CA) Galewski Carl (Berkeley CA), Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure.
  49. Joshi Rajiv Vasant ; Tejwani Manu Jamnadas ; Srikrishnan Kris Venkatraman, High aspect ratio low resistivity lines/vias by surface diffusion.
  50. Jin Shu ; Mu Xiao Chun ; Chen Xing ; Bourget Lawrence, High density plasma physical vapor deposition.
  51. Farnworth Warren M. ; Akram Salman, IC contacts with palladium layer and flexible conductive epoxy bumps.
  52. Prasad Jayasimha S. (Tigard OR) Park Song W. (Aloha OR) Vetanen William A. (Sherwood OR) Beers Irene G. (Sherwood OR) Haynes Curtis M. (Portland OR), Implant-free heterojunction bioplar transistor integrated circuit process.
  53. Allen Gregory Lee (Vancouver WA), Implantation of nucleating species for selective metallization and products thereof.
  54. Bedner Thomas R. ; Zuchowski Paul S., Integrated circuit and method of manufacture for avoiding damage by electrostatic charge.
  55. Jin Changming ; Yamanaka Stacey ; List R. Scott, Integrated circuit dielectrics.
  56. Farrar Paul A., Integrated circuit with oxidation-resistant polymeric layer.
  57. Ting Chiu H. ; Holtkamp William H., Integrated vacuum and plating cluster system.
  58. Ingraham Anthony P. ; Kehley Glenn L. ; Sathe Sanjeev B. ; Slack John R., Integrated, multi-chip, thermally conductive packaging device and methodology.
  59. Zhao Bin, Interconnect structure and method employing air gaps between metal lines and between metal layers.
  60. Simpson Cindy Reidsema, Interconnect structure in a semiconductor device and method of formation.
  61. Nakano Tadashi (Chiba JPX) Ono Hideaki (Chiba JPX), Interconnection structure for semiconductor integrated circuit and manufacture of the same.
  62. Michael Mark W. ; Dawson Robert ; Hause Fred N. ; Bandyopadhyay Basab ; Fulford ; Jr. H. Jim ; Brennan William S., Interlevel dielectric with air gaps to reduce permitivity.
  63. Fang Peng ; Fatemi Homi, Interlevel dielectric with multiple air gaps between conductive lines of an integrated circuit.
  64. Beyer Klaus D. (Poughkeepsie NY) Silvestri Victor J. (Hopewell Junction NY) Yapsir Andrie S. (Pleasant Valley NY), Isolated films using an air dielectric.
  65. Aitken John M. (Mahopac NY) Beyer Klaus D. (Poughkeepsie NY) Crowder Billy L. (Putnam Valley NY) Greco Stephen E. (Lagrangeville NY), Larce scale IC personalization method employing air dielectric structure for extended conductors.
  66. Cheung Robin W. (Cupertino CA), Layered low dielectric constant technology.
  67. Sachdev Krishna Gandhi ; Hummel John Patrick ; Kamath Sundar Mangalore ; Lang Robert Neal ; Nendaic Anton ; Perry Charles Hampton ; Sachdev Harbans, Low TCE polyimides as improved insulator in multilayer interconnect structures.
  68. Wollesen Donald L., Low capacitance interconnection.
  69. Kapoor Ashok K. (Palo Alto CA) Pasch Nicholas F. (Pacifica CA), Low dielectric constant insulation layer for integrated circuit structure and method of making same.
  70. Jang Syun-Ming,TWX, Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines.
  71. Buynoski Matthew S., Low dielectric semiconductor device with rigid, conductively lined interconnection system.
  72. Frantz Earl L. (Reading PA), Low expansion low resistivity composite powder metallurgy member and method of making the same.
  73. Kwon Dong-chul,KRX ; Wee Young-Jin,KRX, Low resistance interconnect for a semiconductor device and method of fabricating the same.
  74. Shan Ende ; Lau Gorley ; Geha Sam, Low temperature metallization process.
  75. Keyser Thomas (Palm Bay FL) Cairns Bruce R. (Los Altos Hills CA) Anand Kranti V. (Sunnyvale CA) Petro William G. (Cupertino CA) Barry Michael L. (Palo Alto CA), Low temperature plasma nitridation process and applications of nitride films formed thereby.
  76. Brors Daniel L. (Los Altos Hills CA) Fair James A. (Mountain View CA) Monnig Kenneth A. (Palo Alto CA), Method and apparatus for deposition of tungsten silicides.
  77. Schmitt Jerome J. (265 College St. (12N) New Haven CT 06510), Method and apparatus for the deposition of solid films of a material from a jet stream entraining the gaseous phase of s.
  78. Farrar Paul A., Method and support structure for air bridge wiring of an integrated circuit.
  79. Omstead Thomas R. ; Wongsenakhum Panya ; Messner William J. ; Nagy Edward J. ; Starks William ; Moslehi Mehrdad M., Method and system for dispensing process gas for fabricating a device on a substrate.
  80. Filipiak Stanley M. (Pflugerville TX) Gelatos Avgerinos (Austin TX), Method for capping copper in semiconductor devices.
  81. Zhou Mei Sheng,SGX ; Ron-Fu Chu,SGX, Method for chemical-mechanical polish (CMP) planarizing of cooper containing conductor layers.
  82. Svendsen Leo Gulvad (Redwood City CA) Walker Clifford James (Fremont CA) Lykins ; II James Leborn (San Jose CA), Method for electroplating a substrate containing an electroplateable pattern.
  83. Cherng Meng-Jaw,TWX ; Li Pei-Wen,TWX, Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices.
  84. Chang Weng,TWX ; Cheng Yao-Yi,TWX, Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections.
  85. Dubin Valery ; Ting Chiu, Method for fabricating copper-aluminum metallization.
  86. Mikagi Kaoru (Tokyo JPX), Method for fabricating semiconductor device with interconnections buried in trenches.
  87. Levy Harold J. ; McGill Thomas C., Method for fabricating transistorless, multistable current-mode memory cells and memory arrays.
  88. Sandhu Gurtej Sandhu (Boise ID) Yu Chris Chang (Aurora IL), Method for forming a metallization layer.
  89. Sundarrajan Arvind ; Saigal Dinesh, Method for forming a multilayered aluminum-comprising structure on a substrate.
  90. Farnworth Warren M. (Nampa ID) Akram Salman (Boise ID) Wood Alan G. (Boise ID), Method for forming contact pins for semiconductor dice and interconnects.
  91. Cooper Kent J. (Austin TX) Lin Jung-Hui (Austin TX) Roth Scott S. (Austin TX) Roman Bernard J. (Austin TX) Mazure Carlos A. (Austin TX) Nguyen Bich-Yen (Austin TX) Ray Wayne J. (Austin TX), Method for forming contact to a semiconductor device.
  92. Farrar Paul A. (South Burlington VT) Geffken Robert M. (Burlington VT) Kroll Charles T. (Raleigh NC), Method for forming dense multilevel interconnection metallurgy for semiconductor devices.
  93. Geusic Joseph E. ; Forbes Leonard ; Ahn Kie Y., Method for forming high capacitance memory cells.
  94. Ho Yu Q. (Kanata CAX) Jolly Gurvinder (Orleans CAX) Emesh Ismail T. (Cumberland CAX), Method for forming interconnect structures for integrated circuits.
  95. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  96. Fitzsimmons John A. (Poughkeepsie NY) Havas Janos (Hopewell Junction NY) Lawson Margaret J. (Newburgh NY) Leonard Edward J. (Fishkill NY) Rhoads Bryan N. (Pine Bush NY), Method for forming patterned films on a substrate.
  97. Tsunogae Yasuo (Kawasaki JPX) Mizuno Hideharu (Kawasaki JPX) Kohara Teiji (Kawasaki JPX) Natsuume Tadao (Yokosuka JPX), Method for hydrogenation of metathesis polymers.
  98. Farrar Paul A. ; Forbes Leonard, Method for making high-Q inductive elements.
  99. Boeck Bruce Allen ; Wetzel Jeff Thomas ; Sparks Terry Grant, Method for manufacturing a low dielectric constant inter-level integrated circuit structure.
  100. Barton Carlos L. (Brooklyn CT) McGraw Robert B. (Westport CT), Method for metallizing fluoropolymer substrates.
  101. Schmitt ; III Jerome J. (New Haven CT) Halpern Bret L. (Bethany CT), Method for microwave plasma assisted supersonic gas jet deposition of thin films.
  102. Jain Ajay, Method for preventing electroplating of copper on an exposed surface at the edge exclusion of a semiconductor wafer.
  103. Chakravorty Kishore K. (Issaquah WA) Tanielian Minas H. (Bellevue WA), Method for producing a planar surface on which a conductive layer can be applied.
  104. Sergey D. Lopatin ; Carl Galewski ; Takeshi T. N. Nogami JP, Method of copper interconnect formation using atomic layer copper deposition.
  105. Fiordalice Robert ; Garcia Sam ; Ong T. P., Method of decreasing resistivity in an electrically conductive layer.
  106. Klatskin Jerome Barnard (Princeton Junction NJ) Rosen Arye (Cherry Hill NJ), Method of electrically interconnecting semiconductor elements.
  107. Nogami Takeshi ; Dubin Valery ; Cheung Robin, Method of electroplating a copper or copper alloy interconnect.
  108. van Laarhoven Josephus M. F. G. (Eindhoven NLX) de Bruin Leendert (Eindhoven NLX) van Arendonk Anton P. M. (Eindhoven NLX), Method of enabling electrical connection to a substructure forming part of an electronic device.
  109. Tam Gordon (Chandler AZ) Granick Lisa R. (Philadelphia PA), Method of fabricating airbridge metal interconnects.
  110. Jing-Cheng Lin TW; Shau-Lin Shue TW; Chen-Hua Yu TW, Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process.
  111. Ahn Kie Y., Method of fabricating integrated circuit wiring with low RC time delay.
  112. Lee Robin,TWX, Method of fabricating metal interconnect structure having outer air spacer.
  113. Hsu Chen-Chung,TWX ; Chang Yih-Jau,TWX, Method of fabricating semiconductor device for preventing antenna effect.
  114. Mikagi Kaoru,JPX, Method of fabricating semiconductor device providing effective resistance against metal layer oxidation and diffusion.
  115. Wada Junichi,JPX ; Sakata Atsuko,JPX ; Katata Tomio,JPX ; Usui Takamasa,JPX ; Hasunuma Masahiko,JPX ; Shibata Hideki,JPX ; Kaneko Hisashi,JPX ; Hayasaka Nobuo,JPX ; Okumura Katsuya,JPX, Method of filling contact holes and wiring grooves of a semiconductor device.
  116. Ong Edith (Saratoga CA), Method of filling contacts in semiconductor devices.
  117. Gilton Terry L. ; Chopra Dinesh, Method of forming a metal seed layer for subsequent plating.
  118. Fitch Jon T. (Austin TX) Maniar Papu (Austin TX) Witek Keith E. (Austin TX) Gelatos Jerry (Austin TX) Moazzami Reza (Austin TX) Ajuria Sergio A. (Austin TX), Method of forming a semiconductor structure having an air region.
  119. Farrar Paul A., Method of forming a support structure for air bridge wiring of an integrated circuit.
  120. Venkatraman Ramnath ; Weitzman Elizabeth J. ; Fiordalice Robert W., Method of forming an interconnect structure.
  121. Chien Rong-Wu,TWX ; Yen Tzu-Shih,TWX, Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers.
  122. Ashley Leon ; Dalal Hormazdyar M. ; Nguyen Du Binh ; Rathore Hazara S. ; Smith Richard G., Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity.
  123. Hong Qi-Zhong ; Jeng Shin-Puu ; Hsu Wei-Yung, Method of forming diffusion barriers encapsulating copper.
  124. Soclof Sidney I. (San Gabriel CA), Method of forming integrated circuit chip transmission line.
  125. Buynoski Matthew S., Method of forming low dielectric semiconductor device with rigid, metal silicide lined interconnection system.
  126. Buynoski Matthew S., Method of forming low dielectric tungsten lined interconnection system.
  127. Choi Kyeong Keun (Ichonkun KRX), Method of forming metal interconnection layer of semiconductor device.
  128. Buynoski Matthew S. ; Lin Ming-Ren, Method of forming multiple levels of patterned metallization.
  129. Nag Somnath, Method of implementing air-gap technology for low capacitance ILD in the damascene scheme.
  130. Beinglass Israel ; Srinivas Ramanujapuram A., Method of making polysilicon/tungsten silicide multilayer composite on an integrated circuit structure.
  131. Khandros Igor Y., Method of making raised contacts on electronic components.
  132. Numata Ken (Dallas TX), Method of making reliable metal leads in high speed LSI semiconductors using both dummy leads and thermoconductive layer.
  133. Chino Toyoji (Osaka JPX) Matsuda Kenichi (Osaka JPX) Shibata Jun (Osaka JPX), Method of making semiconductor device with air-bridge interconnection.
  134. Suehiro Shintaro,JPX ; Akasaka Yasushi,JPX ; Suguro Kyoichi,JPX ; Nakajima Kazuaki,JPX ; Iijima Tadashi,JPX, Method of manufacturing a semiconductor device.
  135. Nakasaki Yasushi (Yokohama JPX), Method of manufacturing a semiconductor device with a copper wiring layer.
  136. Te Velde, Ties S., Method of manufacturing a wiring system.
  137. Bang David, Method of producing air gap for reducing intralayer capacitance in metal layers in damascene metalization process and product resulting therefrom.
  138. Iwasaki Haruo,JPX, Method of producing cylindrical storage node of stacked capacitor in memory cell.
  139. Canaperi Donald F. (Bridgewater CT) Jagannathan Rangarajan (Patterson NY) Krishnan Mahadevaiyer (Hopewell Junction NY), Method of replenishing electroless gold plating baths.
  140. Miyauchi Nobuaki (San Diego CA) Yonemasu Hiroshi (San Diego CA) Cho Bakji (San Diego CA), Method of using a contamination shield during the manufacture of EPROM semiconductor package windows.
  141. Doan Trung T. (Boise ID) Tuttle Mark E. (Boise ID), Method to form a low resistant bond pad interconnect.
  142. Mei-Sheng Zhou SG; Simon Chooi SG; Yi Xu SG, Method to form damascene interconnects with sidewall passivation to protect organic dielectrics.
  143. Uzoh Cyprian Emeka ; Greco Stephen Edward, Method to selectively fill recesses with conductive metal.
  144. Abraham Susan C., Methods and apparatus for etching semiconductor wafers.
  145. Kie Y. Ahn ; Leonard Forbes, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  146. Ahn Kie Y. ; Forbes Leonard ; Farrar Paul A., Methods and structures for metal interconnections in integrated circuits.
  147. Ahn Kie Y. ; Forbes Leonard, Methods for making copper and other metal interconnections in integrated circuits.
  148. Valery Dubin, Methods for making interconnects and diffusion barriers in integrated circuits.
  149. Carey David H. (Austin TX), Methods of forming channels and vias in insulating layers.
  150. Cha Sung W. (Cambridge MA) Suh Nam P. (Sudbury MA) Baldwin Daniel F. (Medford MA) Park Chul B. (Cambridge MA), Microcellular thermoplastic foamed with supercritical fluid.
  151. Cunningham Brian T. (Watertown MA) Richard Patricia V. (North Billerica MA), Microstructure and method of making such structure.
  152. Schmitt ; III Jerome J. (New Haven CT) Halpern Bret L. (Bethany CT), Microwave plasma assisted supersonic gas jet deposition of thin film materials.
  153. Drapeau ; Donald R., Miniature relay.
  154. Nakano Hirofumi (Itami JPX), Multi-layer wiring.
  155. Morishita Yasuyuki (Tokyo JPX), Multi-layer wiring structure in semiconductor device and method for manufacturing the same.
  156. Lur Water (Taipei TWX) Wu Jiunn Y. (Don-Lio TWX), Multi-level conductor process in VLSI fabrication utilizing an air bridge.
  157. Ahn, Kie Y.; Forbes, Leonard; Eldridge, Jerome M., Multilevel copper interconnect with double passivation.
  158. Havemann Robert H. (Garland TX) Jeng Shin-puu (Plano TX), Multilevel interconnect structure with air gaps formed between metal leads.
  159. Kim Edwin ; Nam Michael ; Cha Chris ; Yao Gongda ; Lee Sophia ; Dorleans Fernand ; Kohara Gene Y. ; Fu Jianming, Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers.
  160. Hautala John J. ; Westendorp Johannes F. M., PECVD of TaN films from tantalum halide precursors.
  161. Ireland, Philip J.; Green, James E., Passivation integrity improvements.
  162. Chow Yu C. (Irvine CA) Liao Kuan-Yang (Irvine CA) Chin Maw-Rong (Huntington Beach CA), Plasma-nitridated self-aligned tungsten system for VLSI interconnections.
  163. Jiang Tongbi ; King Jerrold L., Plastic lead frames for semiconductor devices.
  164. Jiang Tongbi ; King Jerrold L., Plastic lead frames for semiconductor devices, packages including same, and methods of fabrication.
  165. Ting Chiu ; Dubin Valery, Plated copper interconnect structure.
  166. Havemann Robert H. ; Stoltz Richard A., Process for conductors with selective deposition.
  167. Misawa Nobuhiro (Kawasaki JPX), Process for fabricating integrated circuit devices.
  168. Cronin John E. (Milton VT) Lee Pei-ing P. (Williston VT), Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit.
  169. Jain Ajay, Process for forming a semiconductor device.
  170. Tobin Philip J. ; Hegde Rama I. ; Tseng Hsing-Huang ; O'Meara David ; Wang Victor, Process for forming a semiconductor device.
  171. Zhang Jiming ; Denning Dean J., Process for forming a semiconductor device.
  172. Zaidel Simon A. (Manlius NY) Alcorn Terrence S. (Liverpool NY) Kopp William F. (Liverpool NY) Pifer George C. (North Syracuse NY), Process for making air bridges for integrated circuits.
  173. Nair Krishna K. (Binghamton NY) Snyder Keith A. (Vestal NY), Process for making multilayer integrated circuit substrate.
  174. Black James R. (Phoenix AZ) Philofsky Elliott M. (Phoenix AZ), Process for making semiconductor device.
  175. Miura Takao,JPX ; Yamauchi Tunenori,JPX ; Monma Yoshinobu,JPX ; Goto Hiroshi,JPX, Process for manufacturing semiconductor devices separated by an air-bridge.
  176. Tokunaga Takafumi (Tokorozawa JPX) Tsuneoka Masatoshi (Ohme JPX) Mizukami Koichiro (Akishima JPX), Process for producing semiconductor integrated circuit device having copper interconnections and/or wirings, and device.
  177. Paul A. Farrar, Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy.
  178. Awaya Nobuyoshi (Isehara JPX) Arita Yoshinobu (Isehara JPX), Process for selectively growing thin metallic film of copper or gold.
  179. Ping-Chuan Wang ; Ronald G. Filippi ; Robert D. Edwards ; Edward W. Kiewra ; Roy C. Iggulden, Process of enclosing via for improved reliability in dual damascene interconnects.
  180. Cheung Robin W. (Cupertino CA) Chang Mark S. (Los Altos CA), Processing techniques for achieving production-worthy, low dielectric, low dielectric, low interconnect resistance and h.
  181. Schacham-Diamand Yosef ; Dubin Valery M. ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K. ; Desilva Melvin, Protected encapsulation of catalytic layer for electroless copper interconnect.
  182. Guglielmi Anthony C. (Plainfield CT), Push-on bus bar.
  183. Hsu Wei-Yung ; Hong Qi-Zhong, Reduced temperature contact/via filling.
  184. Pfeifer Friedrich (Bruchkbel DEX) Behnke Wernfried (Hanau DEX), Reducing magnetic hysteresis losses in cores of thin tapes of soft magnetic amorphous metal alloys.
  185. Joshi Rajiv V. (Yorktown Heights NY) Cuomo Jerome J. (Lincolndale NY) Dalal Hormazdyar M. (Milton NY) Hsu Louis L. (Fishkill NY), Refractory metal capped low resistivity metal conductor lines and vias.
  186. Numata Ken (Dallas TX) Houston Kay L. (Richardson TX), Reliability of metal leads in high speed LSI semiconductors using dummy vias.
  187. Numata Ken (Dallas TX) Houston Kay L. (Richardson TX), Reliability of metal leads in high speed LSI semiconductors using dummy vias.
  188. Baum Thomas H. (San Jose CA) Houle Frances A. (Fremont CA) Jones Carol R. (San Jose CA) Kovac Caroline A. (Ridgefield CT), Selective deposition of copper.
  189. Zhao Bin (Austin TX) Vasudev Prahalad K. (Austin TX) Dubin Valery M. (Cupertino CA) Shacham-Diamand Yosef (Ithaca NY) Ting Chiu H. (Saratoga CA), Selective electroless copper deposited interconnect plugs for ULSI applications.
  190. Lemons Kyle E. (San Jose CA) Blish ; II Richard C. (Los Gatos CA) Reimer Jan D. (Palo Alto CA), Selective plasma vapor etching process.
  191. Dennison Charles H. ; Doan Trung T., Self-aligned process for making contacts to silicon substrates during the manufacture of integrated circuits therein.
  192. Blalock Guy T. ; Howard Bradley J., Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures.
  193. Lawrence A. Clevenger ; Louis Lu-Chen Hsu, Semi-sacrificial diamond for air dielectric formation.
  194. Clampitt Darwin A., Semiconductor circuit interconnections and methods of making such interconnections.
  195. Klose Helmut,DEX ; Weber Werner,DEX ; Bertagnolli Emmerich,DEX ; Koppe Siegmar,DEX ; Hubner Holger,DEX, Semiconductor component for vertical integration and manufacturing method.
  196. IIjima Tadashi,JPX ; Ono Hisako,JPX ; Ushiku Yukihiro,JPX ; Nishiyama Akira,NLX ; Nakasa Naomi,JPX, Semiconductor device and method of manufacturing the same.
  197. Hughes Henry G. (Scottsdale AZ) Lue Ping-Chang (Scottsdale AZ) Robinson Frederick J. (Scottsdale AZ), Semiconductor device having a low permittivity dielectric.
  198. Xu Zheng ; Forster John ; Yao Tse-Yong, Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches.
  199. Marrs Robert C. (Scottsdale AZ), Semiconductor device having a thermal dissipator and electromagnetic shielding.
  200. Inoue Tomotoshi (Kanagawa JPX) Terada Toshiyuki (Tokyo JPX) Tomita Kenichi (Kanagawa JPX), Semiconductor device having an improved air-bridge lead structure.
  201. Tsukune Atsuhiro (Kawasaki JPX) Suzuki Kiyokatsu (Kawasaki JPX) Matsuura Katsuyoshi (Kawasaki JPX) Mieno Fumitake (Kawasaki JPX) Yamanishi Hirokazu (Kawasaki JPX), Semiconductor device manufacturing apparatus and its cleaning method.
  202. Suehiro Shintaro,JPX ; Akasaka Yasushi,JPX ; Suguro Kyoichi,JPX ; Nakajima Kazuaki,JPX ; Iijima Tadashi,JPX, Semiconductor device wiring or electrode.
  203. Tomita, Kenichi; Inoue, Tomotoshi; Terada, Toshiyuki, Semiconductor integrated circuit device having a hollow multi-layered lead structure.
  204. Fitch Jon T. (Austin TX) Maniar Papu (Austin TX) Witek Keith E. (Austin TX) Gelatos Jerry (Austin TX) Moazzami Reza (Austin TX) Ajuria Sergio A. (Austin TX), Semiconductor structure having an air region and method of forming the semiconductor structure.
  205. Shirk Albert (Palmyra PA) Ceresa Myron (Advance NC), Sensitized polyimides and circuit elements thereof.
  206. Chittipeddi Sailesh ; Merchant Sailesh Mansinh, Silicon IC contacts using composite TiN barrier layer.
  207. Yao Gongda ; Ding Peijun ; Xu Zheng ; Kieu Hoa, Silicon-doped titanium wetting layer for aluminum plug.
  208. 8437 ; 19920400 ; Kenna, Site-selective electrochemical deposition of copper.
  209. Kim Edwin ; Nam Michael ; Cha Chris ; Yao Gongda ; Lee Sophia ; Dorleans Fernand ; Kohara Gene Y. ; Fu Jianming, Sputter deposited barrier layers.
  210. Chiang Tony ; Ding Peijun ; Chin Barry L., Sputtering methods for depositing stress tunable tantalum and tantalum nitride films.
  211. Andoh Takeshi (Tokyo JPX), Static semiconductor memory device.
  212. Nishida Seiki,JPX ; Nakashima Junji,JPX ; Serikawa Osami,JPX ; Ochiai Ikuo,JPX, Steel wire of high strength excellent in fatigue characteristics.
  213. Kitch Vassili, Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures.
  214. Rathore Hazara S. ; Dalal Hormazdyar M. ; McLaughlin Paul S. ; Nguyen Du B. ; Smith Richard G. ; Swinton Alexander J. ; Wachnik Richard A., Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity.
  215. Baldwin Daniel F. (Medford MA) Suh Nam P. (Sudbury MA) Park Chul B. (Cambridge MA) Cha Sung W. (Cambridge MA), Supermicrocellular foamed materials.
  216. Kholodenko Arnold ; Lee Ke Ling ; Shendon Maya ; Quiles Efrain, Temperature control system for semiconductor process chamber.
  217. Bartelink Dirk J. (13170 La Cresta Dr. Los Altos Hills CA 94022), Test fixtures for C4 solder-bump technology.
  218. Young Peter L. (South Barrington IL) Cech Jay (Elmhurst IL) Li Kin (Lombard IL), Thin-film electrical connections for integrated circuits.
  219. Hall R. Dean (Baltimore MD), Tin and gold plating process.
  220. Sandhu Gurtej S. (Boise ID), Tungsten silicide (WSix) deposition process for semiconductor manufacture.
  221. Dubin Valery M. (Cupertino CA) Schacham-Diamand Yosi (Ithaca NY) Zhao Bin (Irvine CA) Vasudev Prahalad K. (Austin TX) Ting Chiu H. (Saratoga CA), Use of cobalt tungsten phosphide as a barrier material for copper metallization.
  222. Farnworth Warren M. ; Akram Salman, Use of palladium in IC manufacturing.
  223. Masumoto Hakaru (Sendai JA) Murakami Yuetsu (Izumi JA), Wear-resistant high-permeability alloy.

이 특허를 인용한 특허 (12)

  1. Matz, Phillip D.; Grunow, Stephan; Papa Rao, Satyavolu Srinivas, Air gap in integrated circuit inductor fabrication.
  2. Matz, Phillip D; Grunow, Stephan; Rao, Satyavolu Srinivas Papa, Air gap in integrated circuit inductor fabrication.
  3. Sherrer, David William; MacDonald, James, Device package and methods for the fabrication thereof.
  4. Li, Baozhen; Yang, Chih-Chao, Dual damascene structure with liner.
  5. Li, Baozhen; Yang, Chih-Chao, Dual damascene structure with liner.
  6. Sherrer, David William; MacDonald, James D, Formulation for packaging an electronic device and assemblies made therefrom.
  7. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Hybrid copper interconnect structure and method of fabricating same.
  8. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Hybrid copper interconnect structure and method of fabricating same.
  9. Usami, Tatsuya, Method for manufacturing a semiconductor device having an interconnect structure and a reinforcing insulating film.
  10. Lin, Mou-Shiung, Post passivation interconnection schemes on top of the IC chips.
  11. Kim, Kyoung-Hee; Lee, Ho-Ki; Choi, Gilheyun; Han, Kyu-Hee; Hong, Jongwon, Semiconductor devices.
  12. Chen, Fen; He, Zhong-Xiang; Stamper, Anthony K., Semiconductor structures and methods of manufacture.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트