$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Methods of fabricating semiconductor structures having epitaxially grown source and drain elements 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/20
  • H01L-021/02
  • H01L-021/36
출원번호 US-0103681 (2005-04-12)
발명자 / 주소
  • Langdo,Thomas A.
  • Lochtefeld,Anthony J.
출원인 / 주소
  • Amberwave Systems Corporation
대리인 / 주소
    Goodwin Procter, LLP
인용정보 피인용 횟수 : 40  인용 특허 : 220

초록

Methods for fabricating facetless semiconductor structures using commercially available chemical vapor deposition systems are disclosed herein. A key aspect of the invention includes selectively depositing an epitaxial layer of at least one semiconductor material on the semiconductor substrate whil

대표청구항

The invention claimed is: 1. A method of fabricating a semiconductor structure, the method comprising: providing a semiconductor substrate having a surface including a first portion and a second portion proximal to the first portion; forming a gate stack over the first portion of said substrate, th

이 특허에 인용된 특허 (220)

  1. Lung Hsing Lan,TWX ; Lu Tao Cheng,TWX ; Wang Mam Tsung,TWX, 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate.
  2. Jack Oon Chu ; Khalid Ezzeldin Ismail, Advance integrated chemical vapor deposition (AICVD) for semiconductor.
  3. Chu Jack Oon ; Ismail Khalid Ezzeldin, Advance integrated chemical vapor deposition (AICVD) for semiconductor devices.
  4. Kub Francis J. ; Temple Victor ; Hobart Karl ; Neilson John, Advanced methods for making semiconductor devices by low temperature direct bonding.
  5. Chu Jack Oon ; Ismail Khalid Ezzeldin ; Lee Kim Yang ; Ott John Albrecht, Bulk and strained silicon on insulator using local selective oxidation.
  6. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  7. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  8. Fischer Hermann,DEX ; Hofmann Franz,DEX, CMOS integrated circuit including forming doped wells, a layer of intrinsic silicon, a stressed silicon germanium layer where germanium is between 25 and 50%, and another intrinsic silicon layer.
  9. Matsumoto Koichi,JPX, CMOS semiconductor device having dual-gate electrode construction and method of production of the same.
  10. Murthy Anand ; Chau Robert S., Cobalt salicidation method on a silicon germanium film.
  11. Harame David L. (Mohegan Lake NY) Patton Gary L. (Poughkeepsie NY) Stork Maria C. (Yorktown Heights NY), Complementary bipolar transistor structure and method for manufacture.
  12. Wang Kang L. (Santa Monica CA) Woo Jason C. (Encino CA), Complementary field effect transistors having strained superlattice structure.
  13. Baca Albert G. (Albuquerque NM) Drummond Timothy J. (Albuquerque NM) Robertson Perry J. (Albuquerque NM) Zipperian Thomas E. (Albuquerque NM), Complementary junction heterostructure field-effect transistor.
  14. Ismail Khaled E. (Cairo NY EGX) Stern Frank (Pleasantville NY), Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers.
  15. Robert L. Thornton ; Christopher L. Chua, Compliant substrates for growing lattice mismatched films.
  16. Ohori Tatsuya,JPX, Compound semiconductor device constructed on a heteroepitaxial substrate.
  17. Fitzgerald Eugene A., Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization.
  18. Fitzgerald Eugene A., Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization.
  19. Horstmann Manfred,DEX ; Wieczorek Karsten,DEX ; Hause Frederick N., Device improvement by source to drain resistance lowering through undersilicidation.
  20. Bean Kenneth E. (Richardson TX) Malhi Satwinder S. (Garland TX) Runyan Walter R. (Dallas TX), Discretionary gettering of semiconductor circuits.
  21. Narayan Jagdish (Raleigh NC) Fan John C. C. (Chestnut Hill MA), Dislocation density reduction in gallium arsenide on silicon heterostructures.
  22. Psaras Peter A. (Ossining NY) Tu King-Ning (Chappaqua NY) Thompson Richard D (Lake Peekskill NY), Dopant control of metal silicide formation.
  23. Harari Eliyahou ; Guterman Daniel C. ; Samachisa George ; Yuan Jack H., Dual floating gate EEPROM cell array with steering gates shared adjacent cells.
  24. Xiang Qi ; Jeon Joong, Dual material gate MOSFET technique.
  25. Tatau Nishinaga JP, ELO semiconductor substrate.
  26. Siang Ping Kwok ; William F. Richardson ; Dirk N. Anderson, Edge stress reduction by noncoincident layers.
  27. Tomioka Yugo (Sagamihara JPX) Iwasa Shoichi (Sagamihara JPX) Sato Yasuo (Sagamihara JPX) Wada Toshio (Sagamihara JPX) Anzai Kenji (Sagamihara JPX), Electrically alterable n-bit per cell non-volatile memory with reference cells.
  28. Moslehi Mehrdad (Los Altos CA), Elevated source/drain junction metal oxide semiconductor field-effect transistor using blanket silicon deposition.
  29. Candelaria Jon J. (Tempe AZ), Enhanced mobility MOSFET device and method.
  30. Wu, Kenneth C.; Fitzgerald, Eugene A.; Borenstein, Jeffrey T., Etch stop layer system.
  31. Minoru Kubo JP; Katsuya Nozawa JP; Masakatsu Suzuki JP; Takeshi Uenoyama JP; Yasuhito Kumabuchi JP, FET having a Si/SiGeC heterojunction channel.
  32. Bin Yu, Fabrication of a wide metal silicide on a narrow polysilicon gate structure.
  33. Dennard Robert H. (Peekskill NY) Meyerson Bernard S. (Yorktown Heights NY) Rosenberg Robert (Peekskill NY), Fabrication of defect free silicon on an insulating substrate.
  34. Kub Francis J. ; Hobart Karl D., Fabrication ultra-thin bonded semiconductor layers.
  35. Wieczorek, Karsten; Stephan, Rolf; Horstmann, Manfred; Kruegel, Stephan, Field effect transistor with an improved gate contact and method of fabricating the same.
  36. Oliver G. Schmidt DE; Karl Eberl DE, Field-effect transistor based on embedded cluster structures and process for its production.
  37. Mei Shaw-Ning ; Vishnesky Edward J., Flash memory structure with floating gate in vertical trench.
  38. Kencke David L. ; Banerjee Sanjay K., Floating gate transistor having buried strained silicon germanium channel layer.
  39. Lee, Minjoo L.; Leitz, Christopher W.; Fitzgerald, Eugene A., Formation of planar strained layers.
  40. Fitzgerald, Eugene A.; Hammond, Richard; Currie, Matthew, Gate technology for strained surface channel and strained buried channel MOSFET devices.
  41. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate.
  42. Fitzgerald, Eugene A., Heterointegration of materials using deposition and bonding.
  43. Fitzgerald, Eugene A., Heterointegration of materials using deposition and bonding.
  44. Fitzgerald, Eugene A., Heterointegration of materials using deposition and bonding.
  45. Mori Hideki,JPX ; Gomi Takayuki,JPX, Heterojunction bipolar semiconductor device.
  46. Endo Takahiko (Hino JPX) Katoh Riichi (Yokohama JPX), Heterojunction bipolar transistor.
  47. Fujioka Hiroshi (Tokyo JPX), Heterojunction bipolar transistor.
  48. Takagi, Takeshi; Yuki, Koichiro; Toyoda, Kenji; Kanzawa, Yoshihiko, Heterojunction bipolar transistor and method for fabricating the same.
  49. Forbes Leonard ; Noble Wendell P., High density flash memory.
  50. Shimomura Hiroshi,JPX ; Hirai Takehiro,JPX ; Hayashi Joji,JPX ; Nakamura Takashi,JPX, High frequency ring gate MOSFET.
  51. Furukawa Toshiharu ; Ellis-Monaghan John Joseph ; Slinkman James Albert, High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe.
  52. Jack Oon Chu ; Richard Hammond ; Khalid EzzEldin Ismail ; Steven John Koester ; Patricia May Mooney ; John A. Ott, High speed composite p-channel Si/SiGe heterostructure for field effect devices.
  53. Brigham Lawrence N. (Beaverton OR) Lee Yung-Huei (Sunnyvale CA) Chau Robert S. (Beaverton OR) Cotner Raymond E. (Beaverton OR), High tensile nitride layer.
  54. Wong Chun Chiu D., Highly compact memory device with nonvolatile vertical transistor memory cell.
  55. Broekaert Tom P. E., III-V nitride resonant tunneling.
  56. Yoshimi Makoto (Tokyo JPX) Inaba Satoshi (Tokyo JPX) Murakoshi Atsushi (Tokyo JPX) Terauchi Mamoru (Tokyo JPX) Shigyo Naoyuki (Tokyo JPX) Matsushita Yoshiaki (Tokyo JPX) Aoki Masami (Tokyo JPX) Hamam, Insulated-gate transistor having narrow-bandgap-source.
  57. Lustig Bernhard,DEX ; Schaefer Herbert,DEX ; Franosch Martin,DEX, Integrated CMOS circuit arrangement and method for the manufacture thereof.
  58. Muller Heinrich G., Inverted layer epitaxial liftoff process.
  59. Ma, Qing; Lee, Jin; Fujimoto, Harry; Dai, Changhong; Lee, Shiuh-Wuu; Eiles, Travis; Seshan, Krishna, Isolation structure configurations for modifying stresses in semiconductor devices.
  60. Thompson Scott ; Bohr Mark T. ; Packan Paul A., Low damage doping technique for self-aligned source and drain regions.
  61. Legoues Francoise Kolmer (Peekskill NY) Meyerson Bernard Steele (Yorktown Heights NY), Low defect density/arbitrary lattice constant heteroepitaxial layers.
  62. Bhat Rajaram (Red Bank NJ) Lo Yu-hwa (Ithaca NY), Low-temperature fusion of dissimilar semiconductors.
  63. Meyerson Bernard S. (Yorktown Heights NY), Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers.
  64. Henley Francois J. ; Cheung Nathan W., Method for controlled cleaving process.
  65. Kub Francis J. ; Hobart Karl D., Method for fabricating singe crystal materials over CMOS devices.
  66. Sohn Dong Kyun,KRX ; Park Ji Soo,KRX ; Bae Jong Uk,KRX, Method for fabricating thin film at high temperature.
  67. Liaw Hang Ming ; Burt Curtis Lee ; Hong Stella Q. ; Stein Clifford P., Method for forming a semiconductor device having a heteroepitaxial layer.
  68. Bich-Yen Nguyen ; William J. Taylor, Jr. ; Philip J. Tobin ; David L. O'Meara ; Percy V. Gilbert ; Yeong-Jyh T. Lii ; Victor S. Wang, Method for forming a semiconductor device with an opening in a dielectric layer.
  69. Pfiester James R. (Austin TX) Kirsch Howard C. (Austin TX), Method for forming isolation regions in a semiconductor device.
  70. Lin Kang-Cheng,TWX ; Wu Hong-Woei,TWX, Method for forming metal silicide by laser irradiation.
  71. Nakato Tatsuo, Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant.
  72. Brasen Daniel (Lake Hiawatha NJ) Fitzgerald ; Jr. Eugene A. (Bridgewater NJ) Green Martin L. (New Providence NJ) Xie Ya-Hong (Flemington NJ), Method for making low defect density semiconductor heterostructure and devices made thereby.
  73. Selvakumar Chettypalayam R. (Waterloo CAX) Chamberlain Savvas G. (Waterloo CAX), Method for making silicon-germanium devices using germanium implantation.
  74. Matsui Masaki,JPX ; Yamauchi Shoichi,JPX ; Ohshima Hisayoshi,JPX ; Onoda Kunihiro,JPX ; Asai Akiyoshi,JPX ; Sasaya Takanari,JPX ; Enya Takeshi,JPX ; Sakakibara Jun,JPX, Method for manufacturing a semiconductor substrate.
  75. Nagashima Naoki,JPX, Method for manufacturing semiconductor device.
  76. Kibbel Horst,DEX ; Kuchenbecker Jessica,DEX, Method for producing epitaxial silicon germanium layers.
  77. Lo Yu-Hwa ; Ejeckam Felix, Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates.
  78. Kant Shree, Method for sizing PMOS pull-up devices.
  79. Goesele Ulrich M. ; Tong Q.-Y., Method for the transfer of thin layers of monocrystalline material to a desirable substrate.
  80. Mori Kazuo,JPX, Method of bonding a III-V group compound semiconductor layer on a silicon substrate.
  81. Grupen-Shemansky Melissa E. (Phoenix AZ) Cambou Bertrand F. (Mesa AZ), Method of bonding silicon and III-V semiconductor materials.
  82. Meyerson Bernard S. (Yorktown Heights NY), Method of dopant enhancement in an epitaxial silicon layer by using germanium.
  83. Fitzgerald, Eugene A.; Gerrish, Nicole, Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs.
  84. Gaul Stephen J. (Melbourne FL) Rouse George V. (Indialantic FL), Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process.
  85. Andideh Ebrahim ; Brigham Lawrence ; Chau Robert S. ; Ghani Tahir ; Jan Chia-Hong ; Sandford Justin ; Taylor Mitchell C., Method of fabricating a MOS transistor with a raised source/drain extension.
  86. Shideler, Jay Albert; Prasad, Jayasimha Swamy; Schlupp, Ronald Lloyd; Bechdolt, Robert William, Method of fabricating a bipolar transistor using selective epitaxially grown SiGe base layer.
  87. Fitzgerald, Eugene A., Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits.
  88. Suguro Kyoichi (Yokohama JPX), Method of fabricating a semiconductor device having silicided source/drain regions.
  89. Gardner Mark I. ; Fulford H. Jim ; Wristers Derick J., Method of fabricating a transistor with a dielectric underlayer and device incorporating same.
  90. Mohammad S. Noor (Hopewell Junction NY), Method of fabricating a triple heterojunction bipolar transistor.
  91. Dennard Robert H. (Peekskill NY) Meyerson Bernard S. (Yorktown Heights NY) Rosenberg Robert (Peekskill NY), Method of fabricating defect-free silicon on an insulating substrate.
  92. Lynch William T. (Apex NC) Wang Kang L. (Santa Monica CA) Tanner Martin O. (Duarte CA), Method of fabricating quantum bridges by selective etching of superlattice structures.
  93. Fiorini Paolo,BEX ; Sedky Sherif,EGX ; Caymax Matty,BEX ; Baert Christiaan,BEX, Method of fabrication of an infrared radiation detector and infrared detector device.
  94. Bin Yu ; William G. En ; Judy Xilin An ; Concetta E. Riccobene, Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer.
  95. Wieczorek Karsten,DEX ; Raab Michael,DEX ; Stephan Rolf,DEX, Method of forming a transistor having a low-resistance gate electrode.
  96. Tejwani Manu J. (Yorktown Heights NY) Iyer Subramanian S. (Yorktown Heights NY), Method of forming an ultra-uniform silicon-on-insulator layer.
  97. Gardner Mark I. ; Nguyen Thien T., Method of forming an ultrathin gate dielectric.
  98. Abernathey John R. (Essex VT) Cronin John E. (Milton VT) Lasky Jerome B. (Essex Junction VT), Method of forming metal-strapped polysilicon gate electrode for FET device.
  99. Noguchi, Takashi; Soneda, Mitsuo, Method of forming n-and p- channel field effect transistors on the same silicon layer having a strain effect.
  100. Ohori Tatsuya (Kawasaki JPX), Method of growing compound semiconductor.
  101. Dutartre Didier,FRX, Method of implementation of MOS transistor gates with a high content.
  102. Doyle Brian S. ; Roberds Brian ; Lee Jin, Method of increasing the mobility of MOS transistors by use of localized stress regions.
  103. Takasaki Kanetake (Kawasaki JPX), Method of making a compound semiconductor crystal-on-substrate structure.
  104. Curran Patrick A. (Plano TX), Method of making a heterojunction bipolar transistor with SIPOS.
  105. Murthy, Anand S.; Boyanov, Boyan; Soman, Ravindra; Chau, Robert S., Method of making a semiconductor transistor.
  106. Gardner Mark I. ; Fulford H. Jim ; Wristers Derick J., Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication.
  107. Cronin John E. (Milton VT) Kaanta Carter W. (Colchester VT) Mann Randy W. (Jericho VT) Meulemans Darrell (Jericho VT) Starkey Gordon S. (Essex Junction VT), Method of making overpass mask/insulator for local interconnects.
  108. Tseng Horng-Huei,TWX, Method of making titanium silicide source/drains and tungsten silicide gate electrodes for field effect transistors.
  109. Nobuyoshi Hattori JP; Satoshi Yamakawa JP; Junji Nakanishi JP, Method of manufacturing SOI substrate and semiconductor device.
  110. Naruse Hiroshi (Yokohama JPX), Method of manufacturing a bonded semiconductor substrate and a dielectric isolated bipolar transistor.
  111. In Haeng Lee KR, Method of manufacturing a semiconductor device.
  112. Nagano Takashi,JPX, Method of manufacturing a semiconductor device with a silicide layer.
  113. Fushida Atsuo,JPX ; Goto Kenichi,JPX ; Yamazaki Tatsuya,JPX ; Sukegawa Takae,JPX ; Kase Masataka,JPX ; Sakuma Takashi,JPX ; Okazaki Keisuke,JPX ; Ota Yuzuru,JPX ; Takagi Hideo,JPX, Method of manufacturing semiconductor device.
  114. Nagaoka, Kojiro; Saito, Masaki, Method of manufacturing semiconductor device.
  115. Godbey David J. (Burtonsville MD), Method of producing a silicon membrane using a silicon alloy etch stop layer.
  116. Godbey David J. (Bethesda MD) Hughes Harold L. (West River MD) Kub Francis J. (Severna Park MD), Method of producing a thin silicon-on-insulator layer.
  117. Fujioka Hiroshi (Tokyo JPX), Method of producing heterojunction bipolar transistor having narrow band gap base type.
  118. Taylor, Jr., William J.; Orlowski, Marius; Gilmer, David C.; Alluri, Prasad V.; Hobbs, Christopher C.; Rendon, Michael J.; Clejan, Iuval R., Method of recrystallizing an amorphous region of a semiconductor.
  119. Kern Rim, Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation.
  120. Chang Chun-Yen,TWX ; Lei Tan-Fu,TWX ; Lin Hsiao-Yi,TWX ; Cheng Juing-Yi,TWX, Method to fabricate the thin film transistor.
  121. Yang Hong ; Yu Xing,SGX ; Leung Ying Keung,HKX, Method to form CoSi.sub.2 on shallow junction by Si implantation.
  122. Chang Tzong-Sheng,TWX ; Tsai Hung-Chi,TWX ; Tien Bor-Zen,TWX, Method to improve TiSix salicide formation.
  123. Liu, Kaiping, Method to produce localized halo for MOS transistor.
  124. Langdo, Thomas A.; Lochtefeld, Anthony J., Methods of fabricating semiconductor structures having epitaxially grown source and drain elements.
  125. Gehrke Thomas ; Linthicum Kevin J. ; Davis Robert F., Methods of forming a plurality of semiconductor layers using spaced trench arrays.
  126. Dmbkes Heinrich (Ulm DEX) Herzog Hans-J. (Neu-Ulm DEX) Jorke Helmut (Gerstetten DEX), Modulation doped field effect transistor with doped SixGe1-x-intrinsic Si layering.
  127. Maa, Jer-Shen; Tweet, Douglas J.; Hsu, Sheng Teng; Lee, Jong-Jan, Molecular hydrogen implantation method for forming a relaxed silicon germanium layer with high germanium content.
  128. Oda, Katsuya; Washio, Katsuyoshi, Multi-layered, single crystal field effect transistor.
  129. Arimilli, Ravi Kumar; Fields, Jr., James Stephen; Guthrie, Guy Lynn; Joyner, Jody Bern; Lewis, Jerry Don, Multiprocessor system bus protocol with group addresses, responses, and priorities.
  130. Yuhzoh Tsuda JP; Takayuki Yuasa JP, Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device.
  131. Otto Joachim (Unterschleisseheim DEX), Non-volatile memory cell.
  132. Howe Roger T. ; Franke Andrea ; King Tsu-Jae, Polycrystalline silicon germanium films for forming micro-electromechanical systems.
  133. Kim Bumman (Richardson TX) Tserng Hua Q. (Dallas TX), Power MISFET.
  134. Henley Francois J. ; Cheung Nathan W., Pre-semiconductor process implant and post-process film separation.
  135. Canaperi, Donald F.; Chu, Jack Oon; D'Emic, Christopher P.; Huang, Lijuan; Ott, John Albrecht; Wong, Hon-Sum Philip, Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique.
  136. Levine Barry Franklin ; Pinzone Christopher James, Process for bonding crystalline substrates with different crystal lattices.
  137. Pfiester James R. (Austin TX), Process for fabricating a silicon on insulator field effect transistor.
  138. Hartswick Thomas J. (Underhill VT) Kaanta Carter W. (Colchester VT) Lee Pei-Ing P. (Williston VT) Wright Terrance M. (Williston VT), Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit.
  139. Prabhakar Venkatraman, Process for forming silicon on insulator devices having elevated source and drain regions.
  140. Alexander Yuri Usenko, Process for lift-off of a layer from a substrate.
  141. Bensahel Daniel,FRX ; Campidelli Yves,FRX ; Hernandez Caroline,FRX ; Rivoire Maurice,FRX, Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively.
  142. Kondo Shigeki (Hiratsuka JPX) Matsumoto Shigeyuki (Atsugi JPX) Ishizaki Akira (Atsugi JPX) Inoue Shunsuke (Yokohama JPX) Nakamura Yoshio (Atsugi JPX), Process for preparing semiconductor substrate by bonding to a metallic surface.
  143. Cheng, Zhi-Yuan; Fitzgerald, Eugene A.; Antoniadis, Dimitri A.; Hoyt, Judy L., Process for producing semiconductor article using graded epitaxial growth.
  144. Cheng, Zhi-Yuan; Fitzgerald, Eugene A.; Antoniadis, Dimitri A.; Hoyt, Judy L., Process for producing semiconductor article using graded epitaxial growth.
  145. Ruehrwein Robert A. (67 Hilton Ave. Garden City NY 11530), Process for production of III-V compound crystals.
  146. Bruel Michel (Veurey FRX), Process for the production of thin semiconductor material films.
  147. Ek Bruce A. ; Iyer Subramanian Srikanteswara ; Pitner Philip Michael ; Powell Adrian R. ; Tejwani Manu Jamndas, Production of substrate for tensilely strained semiconductor.
  148. Fitzgerald Eugene A. ; Bulsara Mayank T., Relaxed InxGa(1-x)as buffers.
  149. Christiansen, Silke H.; Chu, Jack O.; Grill, Alfred; Mooney, Patricia M., Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing.
  150. Fitzergald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  151. Fitzergald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  152. Fitzergald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  153. Fitzergald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  154. Fitzgerald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  155. Chan Kevin Kok ; Chu Jack Oon ; Ismail Khalid EzzEldin,EGX ; Rishton Stephen Anthony ; Saenger Katherine Lynn, Scalable MOS field effect transistor.
  156. Shimizu Hitoshi (Yokohama JPX) Hirayama Yoshiyuki (Yokohama JPX) Irikawa Michinori (Yokohama JPX), Schottky junction device having a Schottky junction of a semiconductor and a metal.
  157. Kamins Theodore I. (Palo Alto) Noble David B. (Sunnyvale) Hoyt Judy L. (Palo Alto) Gibbons James F. (Palo Alto) Scott Martin P. (San Francisco CA), Selective and non-selective deposition of Si1-xGex on a Si subsrate that is partially maske.
  158. Ozturk Mehmet C. (Cary NC) Grider Douglas T. (Pleasanton CA) Sanganeria Mahesh K. (Raleigh NC) Ashburn Stanton P. (Cary NC) Wortman Jimmie J. (Chapel Hill NC), Selective deposition of doped silicon-germanium alloy on semiconductor substrate, and resulting structures.
  159. Ozturk Mehmet C. (Cary NC) Grider Douglas T. (Raleigh NC) Sanganeria Mahesh K. (Raleigh NC) Ashburn Stanton P. (Cary NC), Selective deposition of doped silion-germanium alloy on semiconductor substrate.
  160. Ozturk Mehmet (Cary NC) Wortman Jimmie (Chapel Hill NC) Grider Douglas (Raleigh NC), Selective germanium deposition on silicon and resulting structures.
  161. Ajmera, Atul Champaklal; Cabral, Jr., Cyril; Carruthers, Roy Arthur; Chan, Kevin Kok; Cohen, Guy Moshe; Kozlowski, Paul Michael; Lavoie, Christian; Newbury, Joseph Scott; Roy, Ronnen Andrew, Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby.
  162. Cabral, Jr., Cyril; Chan, Kevin Kok; Cohen, Guy Moshe; Guarini, Kathryn Wilder; Lavoie, Christian; Roy, Ronnen Andrew; Solomon, Paul Michael, Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby.
  163. Agnello, Paul D.; Chen, Bomy A.; Crowder, Scott W.; Divakaruni, Ramachandra; Iyer, Subramanian S.; Sinitsky, Dennis, Semiconductor chip having both compact memory and high performance logic.
  164. Karl Brunner DE; Karl Eberl DE, Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates.
  165. Kobayashi Setsuko,JPX ; Shinohe Takashi,JPX ; Inoue Tomoki,JPX ; Yahata Akihiro,JPX, Semiconductor device.
  166. Shinichi Takagi JP, Semiconductor device.
  167. Sugiyama, Naoharu; Tezuka, Tsutomu; Mizuno, Tomohisa; Takagi, Shinichi, Semiconductor device.
  168. Sugiyama Naoharu,JPX ; Kurobe Atsushi,JPX, Semiconductor device and memory device.
  169. Yuki, Koichiro; Saitoh, Tohru; Kubo, Minoru; Ohnaka, Kiyoshi; Asai, Akira; Katayama, Koji, Semiconductor device and method for fabricating the same.
  170. Kasai Naoki,JPX ; Koga Hiroki,JPX, Semiconductor device and method for manufacturing same.
  171. Tsutomu Tezuka JP, Semiconductor device and method of manufacturing the same.
  172. Otani Naoko,JPX ; Katayama Toshiharu,JPX, Semiconductor device comprising trench EEPROM.
  173. Murthy Anand ; Jan Chia-Hong ; Andideh Ebrahim ; Weldon Kevin, Semiconductor device having deposited silicon regions and a method of fabrication.
  174. Iwamatsu Toshiaki (Hyogo JPX) Inoue Yasuo (Hyogo JPX) Yamaguchi Yasuo (Hyogo JPX) Nishimura Tadashi (Hyogo JPX), Semiconductor device having metal silicide film.
  175. Iwamatsu Toshiaki,JPX ; Inoue Yasuo,JPX ; Yamaguchi Yasuo,JPX ; Nishimura Tadashi,JPX, Semiconductor device having metal silicide film and manufacturing method thereof.
  176. Wieczorek Karsten,DEX ; Raab Michael,DEX ; Stephan Rolf,DEX, Semiconductor device having metal silicide regions of differing thicknesses above the gate electrode and the source/drain regions, and method of making same.
  177. Kobayashi Yutaka (Hitachi JPX) Suzuki Takaya (Katsuta JPX), Semiconductor devices and method for making the same.
  178. Fitzgerald ; Jr. Eugene A. (Bridgewater NJ), Semiconductor devices with low dislocation defects.
  179. Brasen Daniel (Lake Hiawatha NJ) Fitzgerald ; Jr. Eugene A. (Bridgewater NJ) Green Martin L. (New Providence NJ) Monroe Donald P. (Berkeley Heights NJ) Silverman Paul J. (Millburn NJ) Xie Ya-Hong (Fl, Semiconductor heterostructure devices with strained semiconductor layers.
  180. Pfiester James R. (Austin TX) Hayden James D. (Austin TX), Semiconductor memory cell.
  181. Kauffmann Bruce A. (Jericho VT) Lam Chung H. (Williston VT) Lasky Jerome B. (Essex Junction VT), Semiconductor memory cell and memory array with inversion layer.
  182. Nakagawa Kiyokazu (Sayama JPX) Nishida Akio (Misato JPX) Shimada Toshikazu (Kokubunji JPX), Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same.
  183. Cheng, Zhi-Yuan; Fitzgerald, Eugene A.; Antoniadis, Dimitri A.; Hoyt, Judy L., Semiconductor substrate structure.
  184. Murthy, Anand S.; Doyle, Brian S.; Roberds, Brian E., Semiconductor transistor having a backfilled channel material.
  185. Murthy, Anand; Chau, Robert S.; Ghani, Tahir; Mistry, Kaizad R., Semiconductor transistor having a stressed channel.
  186. Murthy, Anand; Chau, Robert S.; Ghani, Tahir; Mistry, Kaizad R., Semiconductor transistor having a stressed channel.
  187. Murthy, Anand; Chau, Robert S.; Ghani, Tahir; Mistry, Kaizad R., Semiconductor transistor having a stressed channel.
  188. Chuang K. J.,TWX ; Lui H. S.,TWX, Separated floating gate for EEPROM application.
  189. Sugiura Yoshihisa,JPX ; Iwata Yoshihisa,JPX ; Watanabe Hiroshi,JPX, Shielded bit line sensing scheme for nonvolatile semiconductor memory.
  190. Schmitz Jurriaan,NLX ; Woerlee Pierre H.,NLX, Si-Ge CMOS semiconductor device.
  191. Imai Seiji,JPX ; Hiraoka Yoshiko,JPX ; Kurobe Atsushi,JPX ; Sugiyama Naoharu,JPX ; Tezuka Tsutomu,JPX, Si-SiGe semiconductor device and method of fabricating the same.
  192. Ismail Khalid EzzEldin ; Meyerson Bernard S., Si/SiGe vertical junction field effect transistor.
  193. Burghartz Joachim N. (Shrub Oak NY) Meyerson Bernard S. (Yorktown Heights NY) Sun Yuan-Chen (Katonah NY), SiGe thin film or SOI MOSFET and method for making the same.
  194. Soref Richard A. ; Friedman Lionel R., Silicon-based strain-symmetrized GE-SI quantum lasers.
  195. Huang Feng-Yi, Silicon-germanium bicmos on soi.
  196. Robinson McDonald ; Westhoff Richard C. ; Hunt Charles E. ; Ling Li, Silicon-germanium-carbon compositions in selective etch processes.
  197. Ismail Khalid EzzEldin ; Meyerson Bernard S., Single-transistor logic and CMOS inverters.
  198. Bhattacharyya, Arup, Stable PD-SOI devices and methods.
  199. Chu Jack Oon ; Ismail Khalid EzzEldin, Strained Si/SiGe layers on insulator.
  200. Chu Jack Oon ; Ismail Khalid EzzEldin, Strained Si/SiGe layers on insulator.
  201. Wang, Haihong; Xiang, Qi, Strained silicon MOSFET having silicon source/drain regions and method for its fabrication.
  202. Xiang, Qi; Paton, Eric N.; Wang, Haihong, Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication.
  203. Rim, Kern, Strained silicon on insulator structures.
  204. Yasutoshi Okuno ; Scott R. Summerfelt, Structure and method for a large-permittivity gate using a germanium layer.
  205. Ek Bruce A. (Pelham Manor NY) Iyer Subramanian S. (Yorktown Heights NY) Pitner Philip M. (Wappingers Falls NY) Powell Adrian R. (New Milford CT) Tejwani Manu J. (Yorktown Heights NY), Substrate for tensilely strained semiconductor.
  206. Roberds, Brian; Doyle, Brian S., Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel.
  207. Doyle Brian S. ; Roberds Brian ; Lee Jin, Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering.
  208. Ramaswami Ravi ; Joseph Victor ; Cao Min ; Kamins Theodore I. ; Whitlock John P. ; Prem Anil, Thermal inkjet printhead and high-efficiency polycrystalline silicon resistor system for use therein.
  209. Bertin Claude L. (South Burlington VT) DiMaria Donelli J. (Ossining NY) Miyakawa Makoto (Tokyo JPX) Sakaue Yoshinori (Tokyo JPX), Three-dimensional direct-write EEPROM arrays and fabrication methods.
  210. Jung Ho Lee KR, Transistor in a semiconductor device and method of manufacturing the same.
  211. Murakami Eiichi (Fuchu JPX) Nakagawa Kiyokazu (Sayama JPX) Ohshima Takashi (Fuchu JPX) Eto Hiroyuki (Kokubunji JPX) Miyao Masanobu (Tokorozawa JPX), Transistor provided with strained germanium layer.
  212. Chau Robert S. ; Chern Chan-Hong ; Jan Chia-Hong ; Weldon Kevin R. ; Packan Paul A. ; Yau Leopoldo D., Transistor with ultra shallow tip and method of fabrication.
  213. Chau Robert S. ; Chern Chan-Hong ; Jan Chia-Hong ; Weldon Kevin R. ; Packan Paul A. ; Yau Leopoldo D., Transistor with ultra shallow tip and method of fabrication.
  214. Lin Chih-Hung,TWX ; Lee Robin,TWX, Trench flash memory with nitride spacers for electron trapping.
  215. Mohammad S. Noor (Hopewell Junction NY), Triple heterojunction bipolar transistor.
  216. Bartelink Dirk J., Vertical CMOS digital multi-valued restoring logic device.
  217. Augusto Carlos Jorge Ramiro Proenca,BEX, Vertical MISFET devices.
  218. Favors ; Jr. Wesley ; MacDonald Eric William ; Mukherjee Subir ; Warriner Lynn Albert, Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS.
  219. Terumoto Akatsuka JP; Keisuke Utsunomiya JP, Voltage controlled oscillator with power amplifier.
  220. Fransis Bert L. ; O'Connor John Francis, Wideband, variable gain amplifier.

이 특허를 인용한 특허 (40)

  1. Shiraishi, Naohiro, DMOS type semiconductor device and method for manufacturing the same.
  2. Abou-Khalil, Michel J.; Gauthier, Jr., Robert J.; Lee, Tom C.; Li, Junjun; Putnam, Christopher S.; Mitra, Souvick, Design structures for high-voltage integrated circuits.
  3. Abadeer, Wagdi W.; Chatty, Kiran V.; Gauthier, Jr., Robert J.; Rankin, Jed H.; Shi, Yun; Tonti, William R., Device and design structures for memory cells in a non-volatile random access memory and methods of fabricating such device structures.
  4. Abadeer, Wagdi W.; Chatty, Kiran V.; Gauthier, Jr., Robert J.; Rankin, Jed H.; Shi, Yun; Tonti, William R., Device structures for a metal-oxide-semiconductor field effect transistor and methods of fabricating such device structures.
  5. Lee, Seung-Chang; Brueck, Steven R. J., Fabrication of optical-quality facets vertical to a (001) orientation substrate by selective epitaxial growth.
  6. Lee,Seung Chang; Brueck,Steven R. J., Fabrication of optical-quality facets vertical to a (001) orientation substrate by selective epitaxial growth.
  7. Weijtmans, Johan; Lu, Jiong-Ping; Wise, Rick, Formation of a selective carbon-doped epitaxial cap layer on selective epitaxial SiGe.
  8. Chan, Kevin K.; Cheng, Cheng-Wei; Chu, Jack Oon; Sun, Yanning; Yau, Jeng-Bang, III-V MOSFET with self-aligned diffusion barrier.
  9. Chan, Kevin K.; Cheng, Cheng-Wei; Chu, Jack Oon; Sun, Yanning; Yau, Jeng-Bang, III-V MOSFET with self-aligned diffusion barrier.
  10. Cheng, Kangguo; Kerber, Pranita; Khakifirooz, Ali; Shahidi, Ghavam G., Integrated circuit diode.
  11. Cheng, Kangguo; Khakifirooz, Ali; Kulkarni, Pranita; Shahidi, Ghavam G., Integrated circuit diode.
  12. Cheng, Kangguo; Khakifirooz, Ali; Kerber, Pranita; Shahidi, Ghavam G., Integrated circuit with on chip planar diode and CMOS devices.
  13. Cheng, Kangguo; Khakifirooz, Ali; Kulkarni, Pranita; Shahidi, Ghavam G., Integrated circuit with on chip planar diode and CMOS devices.
  14. Brabant, Paul D.; Chung, Keith; He, Hong; Sadana, Devendra K.; Shinriki, Manabu, Low temperature selective epitaxy of silicon germanium alloys employing cyclic deposit and etch.
  15. Haller, Gordon A.; Tang, Sanh D., Memory arrays and methods of fabricating memory arrays.
  16. Hsu,Sheng Teng; Lee,Jong Jan; Tweet,Douglas J.; Maa,Jer shen, Method for isolating silicon germanium dislocation regions in strained-silicon CMOS applications.
  17. Zhang,Da; Nguyen,Bich Yen; Thean,Voon Yew; Shiho,Yasuhito; Dhandapani,Veer, Method for making a semiconductor device with strain enhancement.
  18. Ouyang,Qiqing C.; Chen,Xiangdong, Method for producing field effect device that includes epitaxially growing SiGe source/drain regions laterally from a silicon body.
  19. Lochtefeld, Anthony J.; Langdo, Thomas A.; Hammond, Richard; Currie, Matthew T.; Fitzgerald, Eugene A., Methods for forming semiconductor device structures.
  20. Hanson, Robert J.; Tang, Sanh D., Methods of forming field effect transistors on substrates.
  21. Hanson, Robert J.; Tang, Sanh D., Methods of forming field effect transistors on substrates.
  22. Kim, Young Pil; Parekh, Kunal R., Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates.
  23. Gonzalez, Fernando, Methods of forming lines of capacitorless one transistor DRAM cells, methods of patterning substrates, and methods of forming two conductive lines.
  24. Tang, Sanh D.; Haller, Gordon A.; Doyle, Daniel H., Methods of making a semiconductor memory device.
  25. Kim, Jin-bum; Choi, Si-young; Lee, Hyung-ik; Kim, Ki-hong; Kyoung, Yong-koo, Methods of manufacturing semiconductor devices with Si and SiGe epitaxial layers.
  26. Tang, Sanh D.; Haller, Gordon A.; Brown, Kris K.; Allen, III, Tuman Earl, Semiconductor constructions and transistors, and methods of forming semiconductor constructions and transistors.
  27. Juengling, Werner, Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls.
  28. Juengling, Werner, Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls.
  29. Juengling, Werner, Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls.
  30. Doris, Bruce B.; Cheng, Kangguo; Hon-Wong, Keith Kwong, Semiconductor device exhibiting reduced parasitics and method for making same.
  31. Doris, Bruce B.; Cheng, Kangguo; Wong, Keith Kwong Hon, Semiconductor device exhibiting reduced parasitics and method for making same.
  32. Song, Kwan Jae, Semiconductor device for compensating internal delay, methods thereof, and data processing system having the same.
  33. Song, Kwan Jae, Semiconductor device for compensating internal delay, methods thereof, and data processing system having the same.
  34. Jung, Jong-Ki, Semiconductor device including metal silicide layer and method for manufacturing the same.
  35. Park, Young-Soo; Cho, Kyoo-Chul; Han, Shin-Hyeok; Kang, Tae-Soo, Semiconductor wafer and method of fabricating the same.
  36. Abou-Khalil, Michel J.; Gauthier, Jr., Robert J.; Lee, Tom C.; Li, Junjun; Putnam, Christopher S.; Souvick, Mitra, Semiconductor-on-insulator high-voltage device structures, methods of fabricating such device structures, and design structures for high-voltage circuits.
  37. Chen,Jingkuang; Su,Yi, Systems and methods for integration of heterogeneous circuit devices.
  38. Hekmatshoartabari, Bahman; Shahidi, Ghavam, Thin film transistors with epitaxial source/drain contact regions.
  39. Gonzalez, Fernando, Transistor structures and integrated circuitry comprising an array of transistor structures.
  40. Pei, Chengwen; Johnson, Jeffrey B.; Li, Zhengwen; Yu, Jian, Trench silicide contact with low interface resistance.

문의처: helpdesk@kisti.re.kr전화: 080-969-4114

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로