$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Structures and methods to enhance copper metallization 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-023/48
출원번호 US-0196081 (2002-07-16)
등록번호 US-7378737 (2008-05-27)
발명자 / 주소
  • Farrar,Paul A.
출원인 / 주소
  • Micron Technology, Inc.
대리인 / 주소
    Schwegman, Lundberg & Woessner, P.A.
인용정보 피인용 횟수 : 8  인용 특허 : 267

초록

Disclosed structures and methods inhibit atomic migration and related capacitive-resistive effects between a metallization layer and an insulator layer in a semiconductor structure. One exemplary structure includes an inhibiting layer between an insulator and a metallization layer. The insulator inc

대표청구항

I claim: 1. A semiconductor structure comprising: a protective layer that comprises an insulator nitride compound; a first insulator layer abutting the protective layer; at least one contact plug through the protective layer and the first insulator layer, wherein the contact plug comprises first an

이 특허에 인용된 특허 (267)

  1. Bruni Marie-Dominique,FRX, Anode for a flat display screen.
  2. Thomas L. Ritzdorf ; Steve L. Eudy ; Gregory J. Wilson ; Paul R. McHugh, Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology.
  3. Chen LinLin, Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece.
  4. Chen, LinLin, Apparatus and method for electrolytically depositing a metal on a workpiece.
  5. Chen LinLin, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  6. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  7. Xu Zheng ; Forster John ; Yao Tse-Yong, Apparatus for filling apertures in a film layer on a semiconductor substrate.
  8. Ritzdorf, Thomas L.; Stevens, E. Henry; Chen, LinLin; Graham, Lyndon W.; Dundas, Curt, Apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device.
  9. Singhvi Shri ; Rengarajan Suraj ; Ding Peijun ; Yao Gongda, Barrier applications for aluminum planarization.
  10. Hichem M'Saad ; Seon Mee Cho ; Dana Tribula, Barrier layer deposition using HDP-CVD.
  11. Andricacos Panayotis Constantinou ; Datta Madhav ; Horkans Wilma Jean ; Kang Sung Kwon ; Kwietniak Keith Thomas, Barrier layers for electroplated SnPb eutectic solder joints.
  12. Reynolds Glyn J. ; Hillman Joseph T., Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system.
  13. Lu Jiong Ping ; Hwang Ming ; Anderson Dirk N. ; Kittl Jorge A. ; Tsai Hun-Lian, CVD-based process for manufacturing stable low-resistivity poly-metal gate electrodes.
  14. Farkas Janos ; Bajaj Rajeev ; Freeman Melissa ; Watts David K. ; Das Sanjit, Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers.
  15. Sandhu Gurtej S. ; Fazan Pierre, Chemical vapor deposition using organometallic precursors.
  16. Sandhu, Gurtej S.; Fazan, Pierre, Chemical vapor deposition using organometallic precursors.
  17. Shekhar Pramanick ; Kai Yang, Chemical-mechanical polishing of semiconductors.
  18. Hurwitz Dror,ILX ; Yofis Boris,ILX ; Katz Dror,ILX ; Igner Eva,ILX, Chip carrier substrate.
  19. Buchwalter Leena P. ; Callegari Alessandro Cesare ; Cohen Stephan Alan ; Graham Teresita Ordonez ; Hummel John P. ; Jahnes Christopher V. ; Purushothaman Sampath ; Saenger Katherine Lynn ; Shaw Jane , Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same.
  20. Tada Kenichi (Hyogo JPX), Conductive layer connection structure of semiconductor device.
  21. Uzoh Cyprian E., Continuous highly conductive metal wiring structures and method for fabricating the same.
  22. Harper James M. E. (Yorktown Heights NY) Holloway Karen L. (Mount Kisco NY) Kwok Thomas Y. (Westwood NJ), Copper alloy metallurgies for VLSI interconnection structures.
  23. Harper James M. E. (Yorktown Heights NY) Holloway Karen L. (Mount Kisco NY) Kwok Thomas Y. (Westwood NJ), Copper alloy metallurgies for VLSI interconnection structures.
  24. Douglas Monte A. (Coppell TX), Copper dry etch process using organic and amine radicals.
  25. Robinson Karl ; Taylor Ted, Copper electroless deposition on a titanium-containing surface.
  26. Robinson Karl ; Taylor Ted, Copper electroless deposition on a titanium-containing surface.
  27. Robinson Karl ; Taylor Ted, Copper electroless deposition on a titanium-containing surface.
  28. Edelstein Daniel Charles ; Harper James McKell Edwin ; Hu Chao-Kun ; Simon Andrew H. ; Uzoh Cyprian Emeka, Copper interconnection structure incorporating a metal seed layer.
  29. Farrar Paul A., Copper metallurgy in integrated circuits.
  30. Farrar, Paul A., Copper metallurgy in integrated circuits.
  31. Omura Masayoshi,JPX, Damascene wiring with flat surface.
  32. Zheng Bo ; Chen Ling ; Mak Alfred ; Chang Mei, Deposition of copper with increased adhesion.
  33. Lou Chine-Gie,TWX ; Chen Hsueh-Chung,TWX, Dual damascene CMP process with BPSG reflowed contact hole.
  34. Zhao Bin ; Vasudev Prahalad K. ; Horwath Ronald S. ; Seidel Thomas E. ; Zeitzoff Peter M., Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer.
  35. Hsu Chen-Chung,TWX, Dual damascene manufacturing process.
  36. Chen Liang-Yuh ; Tao Rong ; Guo Ted ; Mosely Roderick Craig, Dual damascene metallization.
  37. Chen Liang-Yuh ; Tao Rong ; Guo Ted ; Mosely Roderick Craig, Dual damascene metallization.
  38. Subramanian, Ramkumar; Lyons, Christopher F., Dual damascene trench depth monitoring.
  39. Wetzel Jeffrey Thomas, Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation.
  40. Bin Zhao ; Liming Tsau, Dual-damascene interconnect structures and methods of fabricating same.
  41. Klein, Rita J., Electroless deposition of doped noble metals and noble metal alloys.
  42. Shacham-Diamand Yosi ; Nguyen Vinh ; Dubin Valery, Electroless deposition of metal films with spray processor.
  43. Lee Chwan-Ying,TWX ; Huang Tzuen-Hsi,TWX, Electroless gold plating method for forming inductor structures.
  44. Alexander S. Kozlov ; Thirumalai Palanisamy ; Dave Narasimhan, Electroless silver plating.
  45. Nguyen Tue ; Charneski Lawrence J. ; Kobayashi Masato,JPX, Enhanced CVD copper adhesion by two-step deposition process.
  46. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  47. Jung, Dong-Jin; Kim, Ki-Nam, Ferroelectric memory device having improved ferroelectric characteristics.
  48. Tetsuo Matsuda JP; Hisashi Kaneko JP, Film formation method.
  49. Qing Tan ; Stanley Craig Beddingfield ; Douglas G. Mitchell, Fine pitch bumping with improved device standoff and bump volume.
  50. Andricacos Panayotis Constantinou ; Datta Madhav ; Deligianni Hariklia ; Horkans Wilma Jean ; Kang Sung Kwon ; Kwietniak Keith Thomas ; Mathad Gangadhara Swami ; Purushothaman Sampath ; Shi Leathen ;, Flip-Chip interconnections using lead-free solders.
  51. Hu, Chuan; Lu, Daoqiang, Fluxless die-to-heat spreader bonding using thermal interface material.
  52. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper and other metals.
  53. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals.
  54. Joshi Rajiv Vasant ; Tejwani Manu Jamnadas ; Srikrishnan Kris Venkatraman, High aspect ratio low resistivity lines/vias by surface diffusion.
  55. Campbell Gregor (Glendale CA) Conn Robert W. (Los Angeles CA) Shoji Tatsuo (Nagoya JPX), High density plasma deposition and etching apparatus.
  56. Jin Shu ; Mu Xiao Chun ; Chen Xing ; Bourget Lawrence, High density plasma physical vapor deposition.
  57. Thomas Michael E. (Cupertino CA) Chinn Jeffrey D. (Foster City CA), High performance interconnect system for an integrated circuit.
  58. Erb Darrell M., High planarity high-density in-laid metallization patterns by damascene-CMP processing.
  59. Farnworth Warren M. ; Akram Salman, IC contacts with palladium layer and flexible conductive epoxy bumps.
  60. Allen Gregory Lee (Vancouver WA), Implantation of nucleating species for selective metallization and products thereof.
  61. Farrar,Paul A., Integrated circuit and seed layers.
  62. Nogami, Takeshi, Integrated circuit chip with high-aspect ratio vias.
  63. Robert H. Havemann ; Girish A. Dixit ; Manoj Jain ; Eden Zielinski ; Qi-Zhong Hong ; Jeffrey West, Integrated circuit interconnect and method.
  64. Farrar Paul A., Integrated circuit with oxidation-resistant polymeric layer.
  65. Ting Chiu H. ; Holtkamp William H., Integrated vacuum and plating cluster system.
  66. Simpson Cindy Reidsema, Interconnect structure in a semiconductor device and method of formation.
  67. Nakano Tadashi (Chiba JPX) Ono Hideaki (Chiba JPX), Interconnection structure for semiconductor integrated circuit and manufacture of the same.
  68. Masahiko Kobayashi JP; Hajime Sahase JP; Nobuyuki Takahashi JP, Ionization sputtering apparatus.
  69. Kobayashi Masahiko,JPX ; Takahashi Nobuyuki,JPX, Ionizing sputter device using a coil shield.
  70. Mikalesen Donald J. (Carmel NY) Rossnagel Stephen M. (White Plains NY), Large area cathode lift-off sputter deposition device.
  71. Sachdev Krishna Gandhi ; Hummel John Patrick ; Kamath Sundar Mangalore ; Lang Robert Neal ; Nendaic Anton ; Perry Charles Hampton ; Sachdev Harbans, Low TCE polyimides as improved insulator in multilayer interconnect structures.
  72. Kapoor Ashok K. (Palo Alto CA) Pasch Nicholas F. (Pacifica CA), Low dielectric constant insulation layer for integrated circuit structure and method of making same.
  73. Jang Syun-Ming,TWX, Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines.
  74. Kwon Dong-chul,KRX ; Wee Young-Jin,KRX, Low resistance interconnect for a semiconductor device and method of fabricating the same.
  75. Shan Ende ; Lau Gorley ; Geha Sam, Low temperature metallization process.
  76. Keyser Thomas (Palm Bay FL) Cairns Bruce R. (Los Altos Hills CA) Anand Kranti V. (Sunnyvale CA) Petro William G. (Cupertino CA) Barry Michael L. (Palo Alto CA), Low temperature plasma nitridation process and applications of nitride films formed thereby.
  77. Farrar, Paul A., Mask on a polymer having an opening width less than that of the opening in the polymer.
  78. Kai Yang, Mask-less differential etching and planarization of copper films.
  79. Gardner Donald S., Metal alloy interconnections for integrated circuits.
  80. Ahila Krishnamoorthy ; David J. Duquette ; Shyam P. Murarka, Metallization structures for microelectronic applications and process for forming the structures.
  81. Ahila Krishnamoorthy ; David J. Duquette ; Shyam P. Murarka, Metallization structures for microelectronic applications and process for forming the structures.
  82. Brors Daniel L. (Los Altos Hills CA) Fair James A. (Mountain View CA) Monnig Kenneth A. (Palo Alto CA), Method and apparatus for deposition of tungsten silicides.
  83. Erb Darrell M. ; Avanzino Steven C. ; Wang Fei, Method and apparatus for improved planarity metallization by electroplating and CMP.
  84. Schmitt Jerome J. (265 College St. (12N) New Haven CT 06510), Method and apparatus for the deposition of solid films of a material from a jet stream entraining the gaseous phase of s.
  85. Tu, Kuo-Chi, Method and structure for a top plate design for making capacitor-top-plate to bit-line-contact overlay margin.
  86. Farrar Paul A., Method and support structure for air bridge wiring of an integrated circuit.
  87. Omstead Thomas R. ; Wongsenakhum Panya ; Messner William J. ; Nagy Edward J. ; Starks William ; Moslehi Mehrdad M., Method and system for dispensing process gas for fabricating a device on a substrate.
  88. Chan, Simon S., Method for avoiding fluorine contamination of copper interconnects.
  89. Filipiak Stanley M. (Pflugerville TX) Gelatos Avgerinos (Austin TX), Method for capping copper in semiconductor devices.
  90. Zhou Mei Sheng,SGX ; Ron-Fu Chu,SGX, Method for chemical-mechanical polish (CMP) planarizing of cooper containing conductor layers.
  91. Chou, Shih-Wei; Wu, Chii-Ming, Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance.
  92. Chen, Linlin; Wilson, Gregory J.; McHugh, Paul R.; Weaver, Robert A.; Ritzdorf, Thomas L., Method for electrochemically depositing metal on a semiconductor workpiece.
  93. Chen Linlin, Method for electrolytically depositing copper on a semiconductor workpiece.
  94. Svendsen Leo Gulvad (Redwood City CA) Walker Clifford James (Fremont CA) Lykins ; II James Leborn (San Jose CA), Method for electroplating a substrate containing an electroplateable pattern.
  95. Cherng Meng-Jaw,TWX ; Li Pei-Wen,TWX, Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices.
  96. Dubin Valery ; Ting Chiu, Method for fabricating copper-aluminum metallization.
  97. Mikagi Kaoru (Tokyo JPX), Method for fabricating semiconductor device with interconnections buried in trenches.
  98. Chiang Ming-Hsiung,TWX ; Chiang Wen-Chuan,TWX ; Wu Cheng-Ming,TWX, Method for fabricating small-size two-step contacts for word-line strapping on dynamic random access memory (DRAM).
  99. Abt Norman E. (Burlingame CA) Moazzami Reza (Oakland CA) Nissan-Cohen Yoav (Zichren Ya\akov ILX), Method for forming a ceramic oxide capacitor having barrier layers.
  100. Sandhu Gurtej Sandhu (Boise ID) Yu Chris Chang (Aurora IL), Method for forming a metallization layer.
  101. Sundarrajan Arvind ; Saigal Dinesh, Method for forming a multilayered aluminum-comprising structure on a substrate.
  102. Matsumoto Shigeharu,JPX ; Kikuchi Kazuo,JPX, Method for forming a thin film of a metal compound by vacuum deposition.
  103. Farnworth Warren M. (Nampa ID) Akram Salman (Boise ID) Wood Alan G. (Boise ID), Method for forming contact pins for semiconductor dice and interconnects.
  104. Cooper Kent J. (Austin TX) Lin Jung-Hui (Austin TX) Roth Scott S. (Austin TX) Roman Bernard J. (Austin TX) Mazure Carlos A. (Austin TX) Nguyen Bich-Yen (Austin TX) Ray Wayne J. (Austin TX), Method for forming contact to a semiconductor device.
  105. Farrar Paul A. (South Burlington VT) Geffken Robert M. (Burlington VT) Kroll Charles T. (Raleigh NC), Method for forming dense multilevel interconnection metallurgy for semiconductor devices.
  106. Geusic Joseph E. ; Forbes Leonard ; Ahn Kie Y., Method for forming high capacitance memory cells.
  107. Ho Yu Q. (Kanata CAX) Jolly Gurvinder (Orleans CAX) Emesh Ismail T. (Cumberland CAX), Method for forming interconnect structures for integrated circuits.
  108. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  109. Fitzsimmons John A. (Poughkeepsie NY) Havas Janos (Hopewell Junction NY) Lawson Margaret J. (Newburgh NY) Leonard Edward J. (Fishkill NY) Rhoads Bryan N. (Pine Bush NY), Method for forming patterned films on a substrate.
  110. Iacoponi John A. ; Brown Dirk ; Nogami Takeshi, Method for forming semiconductor seed layers by high bias deposition.
  111. Iacoponi John A. ; Brown Dirk ; Nogami Takeshi, Method for forming semiconductor seed layers by inert gas sputter etching.
  112. Tsunogae Yasuo (Kawasaki JPX) Mizuno Hideharu (Kawasaki JPX) Kohara Teiji (Kawasaki JPX) Natsuume Tadao (Yokosuka JPX), Method for hydrogenation of metathesis polymers.
  113. Sergey D. Lopatin, Method for low stress plating of semiconductor vias and channels.
  114. Tu, Kuo-Chi, Method for making auto-self-aligned top electrodes for DRAM capacitors with improved capacitor-to-bit-line-contact overlay margin.
  115. Yang Tsung-Ju,TWX ; Wang Chien-Mei,TWX ; Kang Tsung-Kuei,TWX, Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers.
  116. Sekiguchi Mitsuru,JPX, Method for making semiconductor device containing low carbon film for interconnect structures.
  117. Chung Jae-Hyuk,KRX, Method for manufacturing a thin film actuated mirror having a flat light reflecting surface.
  118. Barton Carlos L. (Brooklyn CT) McGraw Robert B. (Westport CT), Method for metallizing fluoropolymer substrates.
  119. Jain Ajay, Method for preventing electroplating of copper on an exposed surface at the edge exclusion of a semiconductor wafer.
  120. Pan, Shing-Chyang; Lin, Keng-Chu; Chiou, Wen-Chih; Jeng, Shwang-Ming, Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers.
  121. Chen Sheng-Hsiung,TWX ; Tsai Ming-Hsing,TWX, Method for preventing seed layer oxidation for high aspect gap fill.
  122. Chakravorty Kishore K. (Issaquah WA) Tanielian Minas H. (Bellevue WA), Method for producing a planar surface on which a conductive layer can be applied.
  123. Murakami Takashi,JPX, Method for producing a semiconductor device and a semiconductor device.
  124. Lai Yeong-Chih,TWX ; Huang Chien-Chung,TWX ; Tsai Yu-Tai,TWX ; Wu Huang-Hui,TWX, Method for reducing critical dimension of dual damascene process using spin-on-glass process.
  125. Wang Tsing-Chow (San Jose CA) Liang Louis H. (Los Altos CA), Method of constructing termination electrodes on yielded semiconductor die by visibly aligning the die pads through a tr.
  126. Fiordalice Robert ; Garcia Sam ; Ong T. P., Method of decreasing resistivity in an electrically conductive layer.
  127. Nogami Takeshi ; Dubin Valery ; Cheung Robin, Method of electroplating a copper or copper alloy interconnect.
  128. van Laarhoven Josephus M. F. G. (Eindhoven NLX) de Bruin Leendert (Eindhoven NLX) van Arendonk Anton P. M. (Eindhoven NLX), Method of enabling electrical connection to a substructure forming part of an electronic device.
  129. Paul A. Farrar, Method of fabricating a barrier layer associated with a conductor layer in damascene structures.
  130. Jing-Cheng Lin TW; Shau-Lin Shue TW; Chen-Hua Yu TW, Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process.
  131. Steven C. Avanzino ; Kai Yang ; Sergey Lopatin ; Todd P. Lukanc, Method of fabricating improved copper metallization including forming and removing passivation layer before forming capping film.
  132. Feldner Klaus, Method of fabricating integrated circuit interconnection employing tungsten/aluminum layers.
  133. Ahn Kie Y., Method of fabricating integrated circuit wiring with low RC time delay.
  134. Hsu Chen-Chung,TWX ; Chang Yih-Jau,TWX, Method of fabricating semiconductor device for preventing antenna effect.
  135. Mikagi Kaoru,JPX, Method of fabricating semiconductor device providing effective resistance against metal layer oxidation and diffusion.
  136. Wada Junichi,JPX ; Sakata Atsuko,JPX ; Katata Tomio,JPX ; Usui Takamasa,JPX ; Hasunuma Masahiko,JPX ; Shibata Hideki,JPX ; Kaneko Hisashi,JPX ; Hayasaka Nobuo,JPX ; Okumura Katsuya,JPX, Method of filling contact holes and wiring grooves of a semiconductor device.
  137. Ong Edith (Saratoga CA), Method of filling contacts in semiconductor devices.
  138. Gilton Terry L. ; Chopra Dinesh, Method of forming a metal seed layer for subsequent plating.
  139. Geffken Robert M. ; Luce Stephen E., Method of forming a self-aligned copper diffusion barrier in vias.
  140. Farrar Paul A., Method of forming a support structure for air bridge wiring of an integrated circuit.
  141. Venkatraman Ramnath ; Weitzman Elizabeth J. ; Fiordalice Robert W., Method of forming an interconnect structure.
  142. Chien Rong-Wu,TWX ; Yen Tzu-Shih,TWX, Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers.
  143. Soon-moon Jung KR; Sun-cheol Hong KR; Sang-eun Lee KR, Method of forming contact structure in a semiconductor device.
  144. Ashley Leon ; Dalal Hormazdyar M. ; Nguyen Du Binh ; Rathore Hazara S. ; Smith Richard G., Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity.
  145. Ashley Leon ; Dalal Hormazdyar M. ; Nguyen Du Binh ; Rathore Hazara S. ; Smith Richard G., Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity.
  146. Hong Qi-Zhong ; Jeng Shin-Puu ; Hsu Wei-Yung, Method of forming diffusion barriers encapsulating copper.
  147. Farrar Paul A., Method of forming foamed polymeric material for an integrated circuit.
  148. Ngo, Minh Van; Huertas, Robert A.; Hopper, Dawn, Method of forming low resistance vias.
  149. Choi Kyeong Keun (Ichonkun KRX), Method of forming metal interconnection layer of semiconductor device.
  150. Buynoski Matthew S. ; Lin Ming-Ren, Method of forming multiple levels of patterned metallization.
  151. Minh Van Ngo ; Shekhar Pramanick ; Takeshi Nogami, Method of forming reliable capped copper interconnects.
  152. Joshi Rajiv Vasant ; Tejwani Manu Jamnadas, Method of making a soft metal conductor.
  153. Pan Ju-Don T. (Austin TX), Method of making an electrical multilayer interconnect.
  154. Beinglass Israel ; Srinivas Ramanujapuram A., Method of making polysilicon/tungsten silicide multilayer composite on an integrated circuit structure.
  155. Numata Ken (Dallas TX), Method of making reliable metal leads in high speed LSI semiconductors using both dummy leads and thermoconductive layer.
  156. Suehiro Shintaro,JPX ; Akasaka Yasushi,JPX ; Suguro Kyoichi,JPX ; Nakajima Kazuaki,JPX ; Iijima Tadashi,JPX, Method of manufacturing a semiconductor device.
  157. Nakasaki Yasushi (Yokohama JPX), Method of manufacturing a semiconductor device with a copper wiring layer.
  158. Kondo Eiichi,JPX ; Takeyasu Nobuyuki,JPX ; Ohta Tomohiro,JPX ; Kawano Yumiko,JPX ; Kaizuka Takeshi,JPX ; Jinnouchi Shinpei,JPX, Method of manufacturing semiconductor device and an apparatus for manufacturing the same.
  159. Kaisaki David A. ; Kranz Heather K. ; Wood Thomas E. ; Hardy L. Charles, Method of planarizing the upper surface of a semiconductor wafer.
  160. Naik Mehul ; Broydo Samuel, Method of producing an interconnect structure for an integrated circuit.
  161. Iwasaki Haruo,JPX, Method of producing cylindrical storage node of stacked capacitor in memory cell.
  162. Canaperi Donald F. (Bridgewater CT) Jagannathan Rangarajan (Patterson NY) Krishnan Mahadevaiyer (Hopewell Junction NY), Method of replenishing electroless gold plating baths.
  163. Zhou Mei Sheng,SGX ; Xu Guo-Qin,SGX ; Chan Lap, Method to deposit a platinum seed layer for use in selective copper plating.
  164. Doan Trung T. (Boise ID) Tuttle Mark E. (Boise ID), Method to form a low resistant bond pad interconnect.
  165. Uzoh Cyprian Emeka ; Greco Stephen Edward, Method to selectively fill recesses with conductive metal.
  166. Abraham Susan C., Methods and apparatus for etching semiconductor wafers.
  167. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  168. Ahn,Kie Y.; Forbes,Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  169. Kie Y. Ahn ; Leonard Forbes, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  170. Forbes Leonard ; Farrar Paul A. ; Ahn Kie Y., Methods and structures for silver interconnections in integrated circuits.
  171. Ahn Kie Y. ; Forbes Leonard, Methods for making copper and other metal interconnections in integrated circuits.
  172. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  173. Valery Dubin, Methods for making interconnects and diffusion barriers in integrated circuits.
  174. Kwon Chul-soon,KRX, Methods of fabricating copper interconnects for integrated circuits.
  175. Carey David H. (Austin TX), Methods of forming channels and vias in insulating layers.
  176. Cha Sung W. (Cambridge MA) Suh Nam P. (Sudbury MA) Baldwin Daniel F. (Medford MA) Park Chul B. (Cambridge MA), Microcellular thermoplastic foamed with supercritical fluid.
  177. Schmitt ; III Jerome J. (New Haven CT) Halpern Bret L. (Bethany CT), Microwave plasma assisted supersonic gas jet deposition of thin film materials.
  178. Morishita Yasuyuki (Tokyo JPX), Multi-layer wiring structure in semiconductor device and method for manufacturing the same.
  179. Grill, Alfred; Hedrick, Jeffrey Curtis; Jahnes, Christopher Vincent; Nitta, Satyanarayana Venkata; Petrarca, Kevin S.; Purushothaman, Sampath; Saenger, Katherine Lynn; Whitehair, Stanley Joseph, Multilevel interconnect structure containing air gaps and method for making.
  180. Nicholas H. Tripsas ; Bhanwar Singh ; Michael K. Templeton, Non-charging critical dimension SEM metrology standard.
  181. Lukanc Todd P. ; Wang Fei ; Avanzino Steven C., Optimized trench/via profile for damascene filling.
  182. Lukanc Todd P. ; Wang Fei ; Avanzino Steven C., Optimized trench/via profile for damascene filling.
  183. Lukanc Todd P. ; Wang Fei ; Avanzino Steven C., Optimized trench/via profile for damascene filling.
  184. Lukanc Todd P. ; Wang Fei ; Avanzino Steven C., Optimized trench/via profile for damascene processing.
  185. Kim Edwin ; Nam Michael ; Cha Chris ; Yao Gongda ; Lee Sophia ; Dorleans Fernand ; Kohara Gene Y. ; Fu Jianming, Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers.
  186. Hautala John J. ; Westendorp Johannes F. M., PECVD of TaN films from tantalum halide precursors.
  187. Agnello Paul D. ; Buchwalter Leena P. ; Hummel John ; Luther Barbara ; Stamper Anthony K., Plasma treatment to enhance inorganic dielectric adhesion to copper.
  188. Chow Yu C. (Irvine CA) Liao Kuan-Yang (Irvine CA) Chin Maw-Rong (Huntington Beach CA), Plasma-nitridated self-aligned tungsten system for VLSI interconnections.
  189. Ting Chiu ; Dubin Valery, Plated copper interconnect structure.
  190. Stevens E. Henry ; Berner Robert W., Process architecture and manufacturing tool sets employing hard mask patterning for use in the manufacture of one or more metallization levels on a workpiece.
  191. Havemann Robert H. ; Stoltz Richard A., Process for conductors with selective deposition.
  192. Stevens, E. Henry; Pfeiffer, Richard, Process for etching thin-film layers of a workpiece used to form microelectronic circuits or components.
  193. Misawa Nobuhiro (Kawasaki JPX), Process for fabricating integrated circuit devices.
  194. Cronin John E. (Milton VT) Lee Pei-ing P. (Williston VT), Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit.
  195. Jain Ajay, Process for forming a semiconductor device.
  196. Tobin Philip J. ; Hegde Rama I. ; Tseng Hsing-Huang ; O'Meara David ; Wang Victor, Process for forming a semiconductor device.
  197. Zhang Jiming ; Denning Dean J., Process for forming a semiconductor device.
  198. Nair Krishna K. (Binghamton NY) Snyder Keith A. (Vestal NY), Process for making multilayer integrated circuit substrate.
  199. Hurwitz Dror,ILX ; Yofis Boris,ILX ; Katz Dror,ILX ; Igner Eva,ILX, Process for manufacturing a chip carrier substrate.
  200. Hu Yongjun (Boise ID), Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium.
  201. Tokunaga Takafumi (Tokorozawa JPX) Tsuneoka Masatoshi (Ohme JPX) Mizukami Koichiro (Akishima JPX), Process for producing semiconductor integrated circuit device having copper interconnections and/or wirings, and device.
  202. Paul A. Farrar, Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy.
  203. Awaya Nobuyoshi (Isehara JPX) Arita Yoshinobu (Isehara JPX), Process for selectively growing thin metallic film of copper or gold.
  204. Ping-Chuan Wang ; Ronald G. Filippi ; Robert D. Edwards ; Edward W. Kiewra ; Roy C. Iggulden, Process of enclosing via for improved reliability in dual damascene interconnects.
  205. Hussein Makarem A., Process to manufacture continuous metal interconnects.
  206. Cheung Robin W. (Cupertino CA) Chang Mark S. (Los Altos CA), Processing techniques for achieving production-worthy, low dielectric, low dielectric, low interconnect resistance and h.
  207. Schacham-Diamand Yosef ; Dubin Valery M. ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K. ; Desilva Melvin, Protected encapsulation of catalytic layer for electroless copper interconnect.
  208. Kai Yang, Recess metallization via selective insulator formation on nucleation/seed layer.
  209. Nagao Makoto (Kanagawa JPX) Akashi Goro (Kanagawa JPX), Recording medium and method of performing recording/producing on the recording medium.
  210. Hsu Wei-Yung ; Hong Qi-Zhong, Reduced temperature contact/via filling.
  211. Joshi Rajiv V. (Yorktown Heights NY) Cuomo Jerome J. (Lincolndale NY) Dalal Hormazdyar M. (Milton NY) Hsu Louis L. (Fishkill NY), Refractory metal capped low resistivity metal conductor lines and vias.
  212. Numata Ken (Dallas TX) Houston Kay L. (Richardson TX), Reliability of metal leads in high speed LSI semiconductors using dummy vias.
  213. Numata Ken (Dallas TX) Houston Kay L. (Richardson TX), Reliability of metal leads in high speed LSI semiconductors using dummy vias.
  214. Baum Thomas H. (San Jose CA) Houle Frances A. (Fremont CA) Jones Carol R. (San Jose CA) Kovac Caroline A. (Ridgefield CT), Selective deposition of copper.
  215. Zhao Bin (Austin TX) Vasudev Prahalad K. (Austin TX) Dubin Valery M. (Cupertino CA) Shacham-Diamand Yosef (Ithaca NY) Ting Chiu H. (Saratoga CA), Selective electroless copper deposited interconnect plugs for ULSI applications.
  216. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  217. Lemons Kyle E. (San Jose CA) Blish ; II Richard C. (Los Gatos CA) Reimer Jan D. (Palo Alto CA), Selective plasma vapor etching process.
  218. Dennison Charles H. ; Doan Trung T., Self-aligned process for making contacts to silicon substrates during the manufacture of integrated circuits therein.
  219. Blalock Guy T. ; Howard Bradley J., Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures.
  220. Clampitt Darwin A., Semiconductor circuit interconnections and methods of making such interconnections.
  221. Usami, Tatsuya, Semiconductor device and manufacturing method thereof.
  222. IIjima Tadashi,JPX ; Ono Hisako,JPX ; Ushiku Yukihiro,JPX ; Nishiyama Akira,NLX ; Nakasa Naomi,JPX, Semiconductor device and method of manufacturing the same.
  223. Hughes Henry G. (Scottsdale AZ) Lue Ping-Chang (Scottsdale AZ) Robinson Frederick J. (Scottsdale AZ), Semiconductor device having a low permittivity dielectric.
  224. Xu Zheng ; Forster John ; Yao Tse-Yong, Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches.
  225. Usami Tatsuya,JPX ; Homma Tetsuya,JPX, Semiconductor device having an organic resin layer and silicon oxide layer containing fluorine for preventing crosstalk.
  226. Amishiro Hiroyuki,JPX ; Igarashi Motoshige,JPX, Semiconductor device including a plurality of interconnection layers.
  227. Tsukune Atsuhiro (Kawasaki JPX) Suzuki Kiyokatsu (Kawasaki JPX) Matsuura Katsuyoshi (Kawasaki JPX) Mieno Fumitake (Kawasaki JPX) Yamanishi Hirokazu (Kawasaki JPX), Semiconductor device manufacturing apparatus and its cleaning method.
  228. Sugai Kazumi,JPX, Semiconductor device manufacturing method.
  229. Suehiro Shintaro,JPX ; Akasaka Yasushi,JPX ; Suguro Kyoichi,JPX ; Nakajima Kazuaki,JPX ; Iijima Tadashi,JPX, Semiconductor device wiring or electrode.
  230. Maekawa Kazuyoshi,JPX, Semiconductor device with improved connection hole for embedding an electrically conductive layer portion.
  231. Sandhu, Gurtej S.; Fazan, Pierre, Semiconductor device with titanium silicon oxide layer.
  232. Tomita, Kenichi; Inoue, Tomotoshi; Terada, Toshiyuki, Semiconductor integrated circuit device having a hollow multi-layered lead structure.
  233. Pramanick Shekhar, Semiconductor interconnect barrier for fluorinated dielectrics.
  234. Iacoponi John A., Semiconductor interconnect interface processing by high temperature deposition.
  235. Pramanick Shekhar ; Brown Dirk ; Nogami Takeshi, Semiconductor interconnect interface processing by pulse laser anneal.
  236. Mercado, Lei L.; Sarihan, Vijay; Chung, Young Sir; Wang, James Jen-Ho; Prack, Edward R., Semiconductor power device and method of formation.
  237. Mercado, Lei L.; Sarihan, Vijay; Chung, Young Sir; Wang, James Jen-Ho; Prack, Edward R., Semiconductor power device with shear stress compensation.
  238. Shirk Albert (Palmyra PA) Ceresa Myron (Advance NC), Sensitized polyimides and circuit elements thereof.
  239. Chittipeddi Sailesh ; Merchant Sailesh Mansinh, Silicon IC contacts using composite TiN barrier layer.
  240. Yao Gongda ; Ding Peijun ; Xu Zheng ; Kieu Hoa, Silicon-doped titanium wetting layer for aluminum plug.
  241. Huang Richard J. (Milpitas CA) Hui Angela (Milpitas CA) Cheung Robin (Cupertino CA) Chang Mark (Los Altos CA) Lin Ming-Ren (Cupertino CA), Simplified dual damascene process for multi-level metallization and interconnection structure.
  242. 8437 ; 19920400 ; Kenna, Site-selective electrochemical deposition of copper.
  243. Kim Edwin ; Nam Michael ; Cha Chris ; Yao Gongda ; Lee Sophia ; Dorleans Fernand ; Kohara Gene Y. ; Fu Jianming, Sputter deposited barrier layers.
  244. Avanzino Steven C. ; Wang Fei, Sputter-resistant hardmask for damascene trench/via formation.
  245. Chiang Tony ; Ding Peijun ; Chin Barry L., Sputtering methods for depositing stress tunable tantalum and tantalum nitride films.
  246. Kitch Vassili, Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures.
  247. Farrar, Paul A., Structures and methods to enhance copper metallization.
  248. Farrar,Paul A., Structures and methods to enhance copper metallization.
  249. Paul A. Farrar, Structures and methods to enhance copper metallization.
  250. Rathore Hazara S. ; Dalal Hormazdyar M. ; McLaughlin Paul S. ; Nguyen Du B. ; Smith Richard G. ; Swinton Alexander J. ; Wachnik Richard A., Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity.
  251. Ek Bruce A. (Pelham Manor NY) Iyer Subramanian S. (Yorktown Heights NY) Pitner Philip M. (Wappingers Falls NY) Powell Adrian R. (New Milford CT) Tejwani Manu J. (Yorktown Heights NY), Substrate for tensilely strained semiconductor.
  252. Baldwin Daniel F. (Medford MA) Suh Nam P. (Sudbury MA) Park Chul B. (Cambridge MA) Cha Sung W. (Cambridge MA), Supermicrocellular foamed materials.
  253. Farrar, Paul A., Surface barriers for copper and silver interconnects produced by a damascene process.
  254. Konecni Anthony J. ; Bolnedi Srikanth, System and method of forming a tungstein plug.
  255. Kholodenko Arnold ; Lee Ke Ling ; Shendon Maya ; Quiles Efrain, Temperature control system for semiconductor process chamber.
  256. Cabral ; Jr. Cyril (Ossining NY) Colgan Evan G. (Suffern NY) Grill Alfred (White Plains NY), Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum.
  257. Young Peter L. (South Barrington IL) Cech Jay (Elmhurst IL) Li Kin (Lombard IL), Thin-film electrical connections for integrated circuits.
  258. Hall R. Dean (Baltimore MD), Tin and gold plating process.
  259. Kaeriyama Toshiyuki,JPX, Titanium nitride metal interconnection system and method of forming the same.
  260. Uzoh Cyprian E., Triple damascence tungsten-copper interconnect structure.
  261. Sandhu Gurtej S. (Boise ID), Tungsten silicide (WSix) deposition process for semiconductor manufacture.
  262. Isik C. Kizilyalli ; Sailesh M. Merchant ; Joseph R. Radosevich, Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability.
  263. Dubin Valery M. (Cupertino CA) Schacham-Diamand Yosi (Ithaca NY) Zhao Bin (Irvine CA) Vasudev Prahalad K. (Austin TX) Ting Chiu H. (Saratoga CA), Use of cobalt tungsten phosphide as a barrier material for copper metallization.
  264. Farnworth Warren M. ; Akram Salman, Use of palladium in IC manufacturing.
  265. You, Lu; Hopper, Dawn M.; Ngo, Minh Van, Use of sion for preventing copper contamination of dielectric layer.
  266. Lur Water (Taipei TWX) Chen Ben (Chu-Tong TWX), VLSI device with global planarization.
  267. Jang Syun-Ming,TWX, Via formation in a poly(arylene ether) inter metal dielectric layer.

이 특허를 인용한 특허 (8)

  1. Li, Baozhen; Yang, Chih-Chao, Dual damascene structure with liner.
  2. Li, Baozhen; Yang, Chih-Chao, Dual damascene structure with liner.
  3. Farrar,Paul A., Electronic apparatus having a core conductive structure within an insulating layer.
  4. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Hybrid copper interconnect structure and method of fabricating same.
  5. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Hybrid copper interconnect structure and method of fabricating same.
  6. Yang, Chih-Chao; Hu, Chao-Kun, Metallic capped interconnect structure with high electromigration resistance and low resistivity.
  7. Farrar, Paul A., Structures and methods to enhance copper metallization.
  8. Farrar, Paul A., Structures and methods to enhance copper metallization.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로