$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Apparatus and method for depositing materials onto microelectronic workpieces

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/455
  • C23C-016/00
  • H01L-021/3065
  • H01L-021/02
출원번호 US-0933604 (2004-09-02)
등록번호 US-7387685 (2008-06-17)
발명자 / 주소
  • Carpenter,Craig M.
  • Mardian,Allen P.
  • Dando,Ross S.
  • Tschepen,Kimberly R.
  • Derderian,Garo J.
출원인 / 주소
  • Micron Technology, Inc.
대리인 / 주소
    Perkins Coie LLP
인용정보 피인용 횟수 : 26  인용 특허 : 287

초록

Reactors for vapor deposition of materials onto a microelectronic workpiece, systems that include such reactors, and methods for depositing materials onto microelectronic workpieces. In one embodiment, a reactor for vapor deposition of a material comprises a reaction chamber and a gas distributor. T

대표청구항

We claim: 1. A reactor for vapor deposition of a material onto a microelectronic workpiece having an inner region and an outer region radially outward from the inner region, comprising: a reaction chamber having an inlet and an outlet; and a gas distributor in the reaction chamber, the gas distribu

이 특허에 인용된 특허 (287)

  1. Gurtej Sandhu ; Garo J. Derderian, ALD method to improve surface coverage.
  2. Shankar N. Chandran ; Scott Hendrickson ; Gwendolyn D. Jones ; Shankar Venkataraman ; Ellie Yieh, Accelerated plasma clean.
  3. Chiang, Tony P.; Leeser, Karl F.; Brown, Jeffrey A.; Babcoke, Jason E., Adsorption process for atomic layer deposition.
  4. Nagakura, Yutaka, Air-tight vessel equipped with gas feeder uniformly supplying gaseous component around plural wafers.
  5. Ofer Sneh ; Carl J. Galewski, Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition.
  6. Sneh Ofer ; Galewski Carl J., Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition.
  7. Sneh, Ofer; Galewski, Carl J., Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition.
  8. Cozad Bradford Allen, Apparatus and method for controlling fluid in a micromachined boiler.
  9. Harris James M. ; Taheri Babak ; Arkilic Errol, Apparatus and method for correcting drift in a sensor.
  10. Carpenter, Craig M.; Mardian, Allen P.; Dando, Ross S.; Tschepen, Kimberly R.; Derderian, Garo J., Apparatus and method for depositing materials onto microelectronic workpieces.
  11. Park, Young-Hoon, Apparatus and method for depositing thin film on wafer using atomic layer deposition.
  12. Park, Young-Hoon, Apparatus and method for depositing thin film on wafer using atomic layer deposition.
  13. Donohoe Kevin G., Apparatus and method for improving uniformity in batch processing of semiconductor wafers.
  14. Selser Michael J. ; Arkilic Errol B. ; Taheri Babak A., Apparatus and method for mounting micromechanical fluid control components.
  15. Heng-Yi Tseng TW; Guey-Shyung Cho TW, Apparatus and method for positioning gas injectors in a vertical furnace.
  16. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  17. Ihantola Heikki,FIX, Apparatus and method for processing of semiconductors, such as silicon chips.
  18. Sneh, Ofer; Seidel, Thomas E.; Galewski, Carl, Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition.
  19. Nishikawa Kiyoko (Kawasaki JPX), Apparatus and process for fabricating semiconductor devices.
  20. Philip H. Campbell ; David J. Kubista, Apparatus and process of improving atomic layer deposition chamber performance.
  21. Takahashi Tetsuo (Akita JPX) Miyauchi Eisaku (Akita JPX) Miyajima Toshihiko (Saku JPX) Watanabe Hideaki (Akita JPX), Apparatus for clean transfer of objects.
  22. Choi Won-sung,KRX ; Oh Kyu-un,KRX, Apparatus for depositing thin films on semiconductor wafers.
  23. Bondestam, Niklas; Kesala , Janne; Keto, Leif; Soininen, Pekka T., Apparatus for fabrication of thin films.
  24. Bondestam, Niklas; Kesala, Janne; Keto, Leif; Soininen, Pekka T., Apparatus for fabrication of thin films.
  25. Suzuki Nobumasa (Yokohama JPX) Hayashi Senichi (Hiratsuka JPX), Apparatus for forming deposited film including light transmissive diffusion plate.
  26. Kaneko Satoshi (Yokohama JPX) Fugita Taichi (Yamato JPX) Nozawa Toshihisa (Yokohama JPX) Ueda Yoichi (Yokohama JPX) Yoshida Yukimasa (Yokohama JPX) Hasegawa Isahiro (Zushi JPX) Okano Haruo (Tokyo JPX, Apparatus for forming reduced pressure and for processing object.
  27. Kleinert Michael (Dresden DDX) Mller Rainer (Dresden DDX) Stelzer Horst (Dresden DDX), Apparatus for guiding gas for LP CVD processes in a tube reactor.
  28. Unger Evan ; McCreery Thomas ; Yellowhair David ; Barrette Terrence R., Apparatus for making gas-filled vesicles of optimal size.
  29. Fujimura Shuzo,JPX ; Shinagawa Keisuke,JPX ; Abe Naomichi,JPX, Apparatus for removing organic resist from semiconductor.
  30. Fukuda Takahide,JPX ; Izumi Shinichiro,JPX ; Kimura Yoshio,JPX ; Matsuyama Yuuji,JPX ; Morita Satoshi,JPX ; Tsunematsu Kunie,JPX, Apparatus for supplying a treatment material.
  31. Van Wijck,Margreet Albertine Anne Marie, Atomic layer CVD.
  32. Van Wijck, Margreet Albertine Anne-Marie, Atomic layer deposition.
  33. Hyun Kwang-Soo,KRX ; Park Kyung-ho,KRX ; Yoon Neung-goo,KRX ; Choi Kang-jun,KRX ; Jeong Soo-hong,KRX, Atomic layer deposition apparatus for depositing atomic layer on multiple substrates.
  34. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  35. Sandhu, Gurtej; Doan, Trung T., Atomic layer doping apparatus and method.
  36. Molenaar Arian (Eindhoven NLX), Bath for electroless depositing tin on substrates.
  37. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  38. Jeffrey Zimmerman ; Jay E. Campbell, Bladder water gun with shaped stream discharge orifices.
  39. Popescu Francine (32 ; rue E. Dolet 94100 Saint Maur FRX), Bright tin electroplating bath.
  40. Garo J. Derderian ; Gurtej S. Sandhu, Capacitor fabrication methods and capacitor constructions.
  41. Boyd Trace L. ; Beer Richard D. ; Terbeek Eric A. ; Wong Vernon W. H., Chamber interfacing O-rings and method for implementing same.
  42. Dando, Ross S.; Carpenter, Craig M.; Campbell, Philip H.; Mardian, Allen P., Chemical vapor deposition apparatus.
  43. Ikeda Kei,JPX ; Kobayashi Akiko,JPX, Chemical vapor deposition apparatus.
  44. Dando, Ross S.; Campbell, Philip H.; Carpenter, Craig M.; Mardian, Allen P., Chemical vapor deposition methods.
  45. Mardian, Allen P.; Sandhu, Gurtej S., Chemical vapor deposition methods, and atomic layer deposition method.
  46. Campbell ; deceased Bryant A. (late of Los Gatos CA by Louise A. Campbell ; legal representative) Formanek Vincent (Hillside IL), Circular waveguide plasma microwave sterilizer apparatus.
  47. Lane Scott ; Holst Mark, Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas.
  48. Kumar Ananda H. ; Narendrnath Kadthala R. ; Shamouilian Shamouil, Compliant bond structure for joining ceramic to metal.
  49. Davies John T. (El Sobrante CA) Reichelderfer Richard F. (Castro Valley CA), Computer controlled system for processing semiconductor wafers.
  50. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  51. Motoda Takashi (c/o Mitsubishi Denki Kabushiki Kaisha Hikari Micro-ha Device Kenkyusho ; 1 Mizuhara 4-chome Itami-shi ; Hyogo 664 JPX) Karakida Shoichi (c/o Mitsubishi Denki Kabushiki Kaisha Hikari M, Container for liquid metal organic compound.
  52. Hanak Joseph J. (Lawrenceville NJ), Continuous in-line deposition system.
  53. Bayne Christopher J. (Los Gatos CA) Guiver H. Chris (Ben Lomond CA), Controlled diffusion environment capsule and system.
  54. Raaijmakers Ivo ; Marohl Dan, Degassing method and apparatus.
  55. Carpenter, Craig M.; Dando, Ross S.; Campbell, Philip H., Deposition apparatuses configured for utilizing phased microwave radiation.
  56. Li Shijian ; Wang Yaxin ; Redeker Fred C. ; Ishikawa Tetsuya ; Collins Alan W., Deposition chamber and method for depositing low dielectric constant films.
  57. Shang Quanyuan ; Law Kam S. ; Maydan Dan, Deposition chamber cleaning technique using a high power remote excitation source.
  58. Garo J. Derderian ; Gurtej S. Sandhu, Deposition methods.
  59. Beinglass Israel ; Venkatesan Mahalingam, Deposition of silicon nitride thin films.
  60. Emmett John E. (Farmington Hills MI), Device and assembly for mounting parts.
  61. Takasu Katsuji,JPX ; Tsuda Hisanori,JPX ; Sano Masafumi,JPX ; Hirai Yutaka,JPX, Device for forming deposited film.
  62. Vaartstra Brian A., Difunctional amino precursors for the deposition of films comprising metals.
  63. DiMeo ; Jr. Frank ; Bilodeau Steven M. ; Van Buskirk Peter C., Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer.
  64. Balish Kenneth E. ; Nowak Thomas ; Tanaka Tsutomu ; Beals Mark, Dilute remote plasma clean.
  65. Laxman Murugesh ; Padmanaban Krishnaraj ; Michael Cox ; Canfeng Lai ; Narendra Dubey ; Tom K. Cho ; Sudhir Ram Gondhalekar ; Lily L. Pang, Directing a flow of gas in a substrate processing chamber.
  66. Umotoy Salvador ; Ku Vincent ; Yuan Xiaoxiong ; Lei Lawrence Chung-Lai, Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces.
  67. Bay Steven T. (San Jose CA) Prince Dan (Irvine CA), Distributed source assembly.
  68. Ma Yanjun ; Ono Yoshi, Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same.
  69. Welch Michael D. ; Shan Homgqing ; Luscher Paul E. ; Lee Evans Y. ; Carducci James D. ; Salimian Siamak, Double slit-valve doors for plasma processing.
  70. Watabe Masahiro (Kawasaki JPX), Dry process apparatus using plural kinds of gas.
  71. Clarke, Andrew Peter, Dual degas/cool loadlock cluster tool.
  72. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  73. Westfall Richard M. (San Antonio TX), Electrolytic preparation of tin and other metals.
  74. Sidhwa Ardehsir J., Elimination of cracks generated after a rapid thermal process step of a semiconductor wafer.
  75. Deguchi, Nobuyoshi, Exposure apparatus, coating/developing apparatus, method of transferring a substrate, method of producing a device, semiconductor production factory, and method of maintaining an exposure apparatus.
  76. Kie Y. Ahn ; Leonard Forbes, Ferroelectric memory transistor with high-k gate insulator and method of fabrication.
  77. Shinriki, Hiroshi; Matsumoto, Kenji, Film deposition apparatus and method.
  78. Okumura Katsuya (Yokohama JPX) Moriya Takahiko (Yokohama JPX) Miyazaki Shinji (Yokohama JPX) Kumagai Yoshio (Kofu JPX) Tanaka Susumu (Hachioji JPX), Film forming method and film forming device.
  79. Gu Youfan ; Hauschultz Dana S., Fluid cooled trap.
  80. Yang, Barry Lee-Mean, Fluid injector for and method of prolonged delivery and distribution of reagents into plasma.
  81. Biegelsen David K. ; Jackson Warren B. ; Cheung Patrick C. P., Fluid valves having cantilevered blocking films.
  82. Lenz Eric H., Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber.
  83. Gilton Terry L. (Boise ID), Formation of self-limiting films by photoemission induced vapor deposition.
  84. Basceri, Cem, Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices.
  85. Dhindsa Rajinder ; Hao Fangli ; Lenz Eric, Gas distribution apparatus for semiconductor processing.
  86. Fangli Hao ; Rajinder Dhindsa, Gas distribution apparatus for semiconductor processing.
  87. McMillin Brian K. ; Knop Robert, Gas distribution apparatus for semiconductor processing.
  88. Rajinder Dhindsa ; Fangli Hao ; Eric Lenz, Gas distribution apparatus for semiconductor processing.
  89. Deacon Thomas E. ; Cheung David ; Lee Peter Wai-Man ; Huang Judy H., Gas distribution for CVD systems.
  90. Su Yuh-Jia (Cupertino CA), Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing.
  91. Masaki Toru (Oita JPX) Kawano Setuo (Oita JPX) Saitoh Yuzo (Oita JPX) Ijiri Hirozo (Oita JPX), Gas distribution plate for use with fluidized-bed gas-phase polymerizer.
  92. Ishikawa Tetsuya ; Krishnaraj Padmanabhan ; Gao Feng ; Collins Alan W. ; Pang Lily, Gas distribution system for a CVD processing chamber.
  93. White John M. ; Blonigan Wendell T. ; Richter Michael W., Gas flow control in a substrate processing system.
  94. Ishii Kaoru (Garland TX) Wilkinson Thomas F. (Garland TX), Gas flow systems in CCVD reactors.
  95. Quirk George ; Raney Daniel V. ; Heuser Michael Scott ; Shepard ; Jr. C. B., Gas injection disc assembly for CVD applications.
  96. Maydan Dan (Los Altos Hills CA) Mak Steve S. Y. (Pleasanton CA) Olgado Donald (Mountain View CA) Yin Gerald Zheyao (Cupertino CA) Driscoll Timothy D. (Hamilton MT) Shieh Brian (Hualien TWX) Papanu Ja, Gas injection slit nozzle for a plasma process reactor.
  97. Young Lydia J. ; Matthiesen Richard H. ; Selitser Simon ; Os Ron van, Gas injection system for semiconductor processing.
  98. Eric J. Redemann ; Kim N. Vu, Gas panel.
  99. Takeshita Kazuhiro,JPX ; Nagashima Shinji,JPX ; Mizutani Yoji,JPX ; Katayama Kyoshige,JPX, Gas treatment apparatus.
  100. Gochberg, Lawrence A.; Burkhart, Christopher W., Gas-purged vacuum valve.
  101. Mak Alfred ; Lai Kevin ; Leung Cissy ; Ghanayem Steve G. ; Wendling Thomas,DEX ; Jian Ping, Gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride.
  102. Doehler Joachim (Union Lake MI) Gattuso David A. (Pontiac MI) Hoffman Kevin R. (Sterling Heights MI), Grooved gas gate.
  103. Okase Wataru (Sagamihara JPX), Heat treatment apparatus.
  104. Saito, Yukimasa; Murata, Hitoshi; Yamamoto, Hiroyuki, Heat treatment apparatus and cleaning method of the same.
  105. Yukimasa Saito JP; Hitoshi Murata JP; Hiroyuki Yamamoto JP, Heat treatment apparatus and cleaning method of the same.
  106. Wang, Wenling; Sakamoto, Koichi; Suzuki, Fujio; Yokota, Takashi, Heat treatment apparatus, calibration method for temperature measuring system of the apparatus, and heat treatment system.
  107. Kannan,Hiroshi; Tamura,Noboru; Kojima,Yasuhiko; Ishizaka,Tadahiro, Heat-treating apparatus and heat-treating method.
  108. Ozaki Yasushi (Sagamihara JPX), Heating device used for a gas phase growing mechanism or heat treatment mechanism.
  109. Sebastien Raoux, Implanatation process for improving ceramic resistance to corrosion.
  110. Rossman, Kent, In situ wafer heat for reduced backside contamination.
  111. Jeff Blahnik, Inflatable slit/gate valve.
  112. Gifford George G. (Bethel CT) O\Neill James A. (New City NY), Infrared thermographic method and apparatus for etch process monitoring and control.
  113. Bartholomew Lawrence D. (Santa Cruz County CA) Provancha Kenneth M. (Santa Cruz County CA) Kamian George (Santa Cruz County CA) DeDontney Jay B. (Santa Cruz County CA) McDaniel Gregory M. (Santa Cruz, Injector and method for delivering gaseous chemicals to a surface.
  114. Sandhu Gurtej S. ; Rolfson J. Brett, Integrated capacitor bottom electrode for use with conformal dielectric.
  115. Doan Trung T. ; Figura Thomas A., Integrated capacitor bottom electrode with etch stop layer.
  116. Park In-seon,KRX ; Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Kim Byung-hee,KRX ; Lee Sang-min,KRX ; Park Chang-soo,KRX, Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature.
  117. Harris James M. ; Cozad Bradford A. ; Hopkins ; Jr. Dean Allyn ; Fitch John S., Integrated electrically operable micro-valve.
  118. Harris James M. ; Fitch John S. ; Cozad Bradford A. ; Hopkins ; Jr. Dean Allyn, Integrated electrically operable normally closed valve.
  119. Karthik Janakiraman ; Kelly Fong ; Chen-An Chen ; Paul Le ; Rong Pan ; Shankar Venkataraman, Integration of remote plasma generator with semiconductor processing chamber.
  120. Anderson Richard L. (Austin TX), Intelligent mass flow controller.
  121. Izu, Masatsugu; Gattuso, David A., Isolation valve.
  122. Huang Richard J. (Milpitas CA) Cheung Robin W. (Cupertino CA) Rakkhit Rajat (Milpitas CA) Lee Raymond T. (Sunnyvale CA), Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC applicati.
  123. Yamaguchi Tooru,JPX ; Tsutahara Kouichirou,JPX ; Suenaga Takayuki,JPX, Liquid vaporizing apparatus.
  124. Maraschin Robert A., Load controlled rapid assembly clamp ring.
  125. Hofmeister Christopher A., Load lock with vertically movable support.
  126. Mak Alfred ; Lai Kevin ; Leung Cissy ; Sauvage Dennis,FRX, Low resistivity W using B2H6 nucleation step.
  127. Henning Albert Karl ; Zdeblick Mark ; Fitch John Stuart ; Hopkins ; Jr. Dean Allyn ; Lilly Leslie Joe, Low-power thermopneumatic microvalve.
  128. Saito, Masayuki, Low-pressure CVD apparatus and method of manufacturing a thin film.
  129. Aitani Terukazu,JPX ; Yamamoto Takaaki,JPX, Method and apparatus for cleaning a chamber.
  130. Pang Ben ; Cheung David ; Taylor ; Jr. William N. ; Raoux Sebastien ; Fodor Mark, Method and apparatus for cleaning a vacuum line in a CVD system.
  131. Dozoretz Paul ; Gu Youfan, Method and apparatus for controlling polymerized teos build-up in vacuum pump lines.
  132. Rolfson J. Brett ; Hochhalter Elton, Method and apparatus for controlling rate of pressure change in a vacuum process chamber.
  133. Barten Brian L. (Lockport NY) Halstead Gary A. (Lockport NY), Method and apparatus for convection brazing of aluminum heat exchangers.
  134. Blonigan, Wendell T.; Gardner, James T., Method and apparatus for detecting the endpoint of a chamber cleaning.
  135. Subrahmanyam Sudhakar ; Tanaka Tsutomu ; Kelkar Mukul, Method and apparatus for determining the endpoint in a plasma cleaning process.
  136. Shrotriya Ashish ; Bryant Todd C., Method and apparatus for directing fluid through a semiconductor processing chamber.
  137. Londergan, Ana R.; Seidel, Thomas E.; Matthysse, Lawrence D.; Lee, Ed C., Method and apparatus for flexible atomic layer deposition.
  138. Robles, Stuardo A.; Sivaramakrishnan, Visweswaren; Nguyen, Bang C.; Rao, Gayathri; Fong, Gary; Lam, Vicente; Lee, Peter Wai-Man; Chang, Mei, Method and apparatus for forming a thin polymer layer on an integrated circuit structure.
  139. Yu Chen-Hua D. (Allentown PA), Method and apparatus for forming integrated circuit layers.
  140. John Schmitt ; Frank P. Chang ; Xin Shen Guo ; Ling Chen ; Christophe Marcadal, Method and apparatus for improved control of process and purge material in a substrate processing system.
  141. Golovato Stephen N. ; Milgate ; III Robert W. ; Consoli Paul Louis, Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber.
  142. Nulman Jaim, Method and apparatus for monitoring the deposition rate of films during physical vapor deposition.
  143. McMillin Brian K. ; Kaveh Farro F. ; Barnes Michael S., Method and apparatus for pressure control in vacuum processors.
  144. Dunham Scott William, Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes.
  145. Gu Youfan, Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace.
  146. Nishizawa Hisao (Shiga JPX) Morita Masaru (Minami JPX) Tanaka Masato (Nagahama JPX), Method and apparatus for surface treating of substrates.
  147. Sandhu Gurtej S. (Boise ID) Meikle Scott G. (Boise ID) Westmoreland Donald L. (Boise ID), Method and appartus for subliming precursors.
  148. Shakuda Yukio,JPX ; Tanaka Haruo,JPX, Method and equipment for manufacturing semiconductor device.
  149. Dalal Hormazdyar M. ; Fallon Kenneth M. ; Gaudenzi Gene J. ; Milkovich Cynthia S., Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder.
  150. Suzuki Takaya (Katsuta JPX) Inoue Yosuke (Tokaimura JPX) Aoyama Takashi (Hitachi JPX), Method for chemical vapor deposition.
  151. Engelken Robert D. (304 Easy St. Jonesboro AR 72401) McCloud Hal E. (2011 Emerald St. Jonesboro AR 72401), Method for electroless and vapor deposition of thin films of three tin sulfide phases on conductive and nonconductive su.
  152. Yu Chorng-Tao (Yorba Linda CA) Isaak Kenneth H. (Tustin CA), Method for film thickness endpoint control.
  153. Hsieh Wen-Yi,TWX ; Yew Tri-Rung,TWX, Method for forming a DRAM cell electrode.
  154. Choi, Sung-Je, Method for forming a dielectric layer of a semiconductor device.
  155. Matsumoto Tomotaka (Kawasaki JPX) Inoue Jun (Kawasaki JPX) Ichimura Teruhiko (Kawasaki JPX) Murata Yuji (Kawasaki JPX) Watanabe Junichi (Kawasaki JPX) Nagahiro Yoshio (Kawasaki JPX) Hodate Mari (Kawa, Method for forming a film and method for manufacturing a thin film transistor.
  156. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  157. Hsieh, Jung-Yu; Lin, Chin-Hsiang, Method for forming nitride spacer by using atomic layer deposition.
  158. Shahvandi Iraj,DEX ; Vatel Oliver,DEX ; John Peggy,DEX, Method for heating a semiconductor wafer in a process chamber by a shower head, and process chamber.
  159. Zhao Joe W. ; Catabay Wilbur G., Method for improvement of TiN CVD film quality.
  160. Mueller Mark A. (San Jose CA) Guo Xin (Mountain View CA) Egermeier John C. (Santa Clara CA), Method for in-situ cleaning a Ti target in a Ti +TiN coating process.
  161. Leem Hyeun-seog,KRX, Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method.
  162. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Lee Sang-min,KRX, Method for manufacturing thin film using atomic layer deposition.
  163. Nakagawa Yoshinori (Numazu JPX) Mitani Shinichi (Numazu JPX) Kobayashi Takehiko (Mishima JPX) Honda Takaaki (Yokohama JPX), Method for multichamber sheet-after-sheet type treatment.
  164. Soubeyrand Michel J. (Perrysburg OH) McCurdy Richard J. (Toledo OH), Method for preparing vaporized reactants for chemical vapor deposition.
  165. Lee, Kwang-Myung; Takagi, Mikio; An, Jae-Hyuk; Chae, Seung-Ki; Kim, Jea-Wook, Method for processing a wafer and apparatus for performing the same.
  166. Lee, Sang-Hyeob; Collins, Joshua, Method for producing ultra-thin tungsten layers with improved step coverage.
  167. Xia Li-Qun ; Pokharrna Himanshu ; Lim Tian-Hoe, Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process.
  168. Hsieh Julian Juu-Chuan ; Kenney Donald McAlpine ; Licata Thomas John ; Ryan James Gardner, Method for selective material deposition on one side of raised or recessed features.
  169. John Vincent Schmitt ; Ling Chen ; George Michael Bleyle ; Yu Cong ; Alfred Mak ; Mei Chang, Method for unreacted precursor conversion and effluent removal.
  170. Lu Tsung-Lin,TWX ; Chung Ping-Chung,TWX ; Lai Tso-Lung,TWX, Method of adjusting for parallel alignment between a shower head and a heater platform in a chamber used in integrated circuit fabrication.
  171. Kang Sang-Bom,KRX ; Lee Sang-In,KRX, Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device.
  172. Tobe Ryoki,JPX ; Tanaka Yasuaki,JPX ; Sekiguchi Atsushi,JPX ; Jimba Hitoshi,JPX ; Kim So Won,KRX, Method of depositing titanium nitride thin film and CVD deposition apparatus.
  173. Schuegraf Klaus F. ; Fazan Pierre C., Method of deposting uniform dielectric film deposition on textured surfaces.
  174. Matsuse, Kimihiro; Otsuki, Hayashi, Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film.
  175. Kim, Yeong-Kwan; Park, Young-Wook; Lee, Seung-Hwan, Method of forming a thin film using atomic layer deposition.
  176. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Method of forming graded thin films using alternating pulses of vapor phase reactants.
  177. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  178. Matsuda Tetsuo (Tokyo JPX) Kunishima Iwao (Yokohama JPX), Method of forming thin film by chemical vapor deposition.
  179. Mathews Viju K., Method of improving resist adhesion for use in patterning conductive layers.
  180. Alain E. Kaloyeros ; Ana Londergan ; Barry Arkles, Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt.
  181. Zdeblick Mark (Mountain View CA), Method of making an integrated, microminiature electric-to-fluidic valve.
  182. Kajita Akihiro,JPX ; Kaneko Hisashi,JPX, Method of manufacturing semiconductor device.
  183. Wang Shulin ; Xi Ming ; Lando Zvi ; Chang Mei, Method of titanium/titanium nitride integration.
  184. Schmitt, John Vincent; Li, Shih-Hung; Marcadal, Christophe; Chang, Anzhong; Chen, Ling, Methods and apparatus for improved vaporization of deposition material in a substrate processing system.
  185. Carpenter, Craig M.; Dando, Ross S.; Mardian, Allen P., Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces.
  186. Derderian, Garo J.; Sandhu, Gurtej, Methods for forming thin layers of materials on micro-device workpieces.
  187. Lin Chi-Fa,TWX, Methods to modify wet by dry etched via profile.
  188. Miller Charles E. ; Wyss Jerry C. ; Balsley ; Jr. Richard B., Micro mass flow control apparatus and method.
  189. Bifano, Thomas, Micro valve arrays for fluid flow control.
  190. Basceri,Cem; Doan,Trung T.; Weimer,Ronald A.; Beaman,Kevin L.; Breiner,Lyle D.; Zheng,Lingyi A.; Ping,Er Xuan; Sarigiannis,Demetrius; Kubista,David J., Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces.
  191. Pennington Michael A., Microwave gas phase plasma source.
  192. Ohmi, Tadahiro; Hirayama, Masaki, Microwave plasma processing apparatus.
  193. DeDontney, Jay Brian; Matthiesen, Richard H.; Kurita, Samuel, Modular injector and exhaust assembly.
  194. Vowles E. John (Deering NH) Maher Joseph A. (Wenham MA) Napoli Joseph D. (Windham NH), Modular vapor processor system.
  195. Sato Junichi (Tokyo JPX) Hasegawa Toshiaki (Kanagawa JPX) Komatsu Hiroshi (Kanagawa JPX), Multi-chamber wafer process equipment having plural, physically communicating transfer means.
  196. Ballance David S. ; Bierman Benjamin ; Tietz James V., Multi-zone gas flow control in a process chamber.
  197. Arami Junichi,JPX ; Ishikawa Kenji,JPX ; Kitamura Masayuki,JPX, One-by-one type heat-processing apparatus.
  198. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  199. Bull Brian S. ; Korpman Ralph A., Optical blood hemostatic analysis apparatus and method.
  200. Pang Ben ; Cheung David ; Taylor ; Jr. William N. ; Raoux Sebastien ; Fodor Mark, Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment.
  201. Crain Kermit (Amery WI) Wary John (Noblesville IN) Olson Roger A. (Amery WI) Beach William F. (Bridgewater NJ), Parylene deposition apparatus including a quartz crystal thickness/rate controller.
  202. Emmi Peter A. ; Park Byeongju, Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer.
  203. Alderman Robert J. (Ruskin FL), Phase change insulation system.
  204. Paquet Volker (Mainz DEX) Ackermann Ulrich (Mainz-Gonsenheim DEX) Etzkorn Heniz-W. (Neu-Ansbach DEX) Kersten Ralf T. (Bremthal DEX) Rtze Uwe (Mainz DEX), Plasma CVD process using a plurality of overlapping plasma columns.
  205. Nguyen, Tue, Plasma enhanced pulsed layer deposition.
  206. Ishida Toshimichi,JPX ; Yamada Yuichiro,JPX ; Takisawa Takahiro,JPX ; Tanabe Hiroshi,JPX, Plasma processing apparatus.
  207. Naohisa Goto JP; Makoto Ando JP; Nobuo Ishii JP, Plasma processing apparatus.
  208. Oyabu, Jun, Plasma processing apparatus.
  209. Fukuda Takuya (Hitachi JPX) Ohue Michio (Hitachi JPX) Suzuki Kazuo (Hitachi JPX), Plasma processing apparatus and method of processing substrates by using same apparatus.
  210. Suzuki Akira (Nirasaki JPX) Ishizuka Shuichi (Nirasaki JPX) Kawamura Kohei (Yamanashi JPX) Hata Jiro (Yamanashi JPX), Plasma processing apparatus using vertical gas inlets one on top of another.
  211. Hanazaki Minoru,JPX ; Ikushima Takayuki,JPX ; Shirakawa Kenji,JPX ; Yamaguchi Shinji,JPX ; Taki Masakazu,JPX, Plasma processing method and plasma processing apparatus.
  212. Kugimiya Katsuhisa,JPX ; Nishizawa Takanori,JPX ; Tajima Daisuke,JPX, Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen.
  213. Hamid Noorbakhsh ; Michael Welch ; Siamak Salimian ; Paul Luscher ; Hongching Shan ; Kaushik Vaidya ; Jim Carducci ; Evans Lee, Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates.
  214. Drage David J. (Sebastopol CA), Plasma reactor having slotted manifold.
  215. DeOrnellas Stephen P. ; Ditizio Robert A., Plasma reactor with a deposition shield.
  216. Tomoyasu Masayuki,JPX ; Koshiishi Akira,JPX ; Imafuku Kosuke,JPX ; Endo Shosuke,JPX ; Tahara Kazuhiro,JPX ; Naito Yukio,JPX ; Nagaseki Kazuya,JPX ; Hirose Keizo,JPX ; Komino Mitsuaki,JPX ; Takenaka H, Plasma treatment method and apparatus.
  217. Kuniaki Horie JP; Yukio Fukunaga JP; Akihisa Hongo JP; Kiwamu Tsukamoto JP; Kenji Kamoda JP; Hirotake Yamagishi JP; Shinya Uemura JP, Positive displacement type liquid-delivery apparatus.
  218. Loan James ; LeFavour John ; Lischer D. Jeffrey ; Sullivan Laura A. ; Planchard David, Pressure-based mass flow controller.
  219. Tanaka Hitoshi (Sagamihara JPX), Process and apparatus for chemical vapor deposition.
  220. Schneider Gerhard ; Weldon Edwin C., Process chamber having improved gas distributor and method of manufacture.
  221. Uchida Hiroki (Hirakata JPX) Kubo Motonobu (Hirakata JPX) Kiso Masayuki (Hirakata JPX) Hotta Teruyuki (Hirakata JPX) Kamitamari Tohru (Hirakata JPX), Process for electroless plating tin, lead or tin-lead alloy.
  222. Brown Kris K. (Garden City ID), Process for fabricating storage capacitor structures using CVD tin on hemispherical grain silicon.
  223. Comizzoli Robert Benedict ; Dautartas Mindaugas Fernand ; Osenbach John William, Process for passivating semiconductor laser structures with severe steps in surface topography.
  224. Gyu-hwan Kwag KR; Hyun Han KR; Ki-heum Nam KR, Process tube having slit type process gas injection portion and hole type waste gas exhaust portion, and apparatus for fabricating semiconductor device.
  225. Doering Kenneth ; Galewski Carl J. ; Gadgil Prasad N. ; Seidel Thomas E., Processing chamber for atomic layer deposition processes.
  226. Kenneth Doering ; Carl J. Galewski ; Prasad N. Gadgil ; Thomas E. Seidel, Processing chamber for atomic layer deposition processes.
  227. Kobayashi, Yasuo; Miyatani, Kotaro; Maekawa, Kaoru, Processing method and apparatus for removing oxide film.
  228. Yamasaki, Hideaki; Kawano, Yumiko; Kubo, Kenichi; Arima, Susumu, Processing system, evacuating system for processing system, low-pressure CVD system, and evacuating system and trapping device for low-pressure CVD system.
  229. Moslehi Mehrdad M. (Dallas TX) Davis Cecil J. (Greenville TX) Matthews Robert T. (Plano TX), Programmable multizone gas injector for single-wafer semiconductor processing equipment.
  230. Brcka, Jozef, Protection of dielectric window in inductively coupled plasma generation.
  231. Toshiaki Takaku JP, Quartz glass jig for heat-treating semiconductor wafers and method for producing same.
  232. Erickson Mark ; Gudmundsson Thorkell ; Shah Sunil C., Ramp rate limiter to control stress during ramping.
  233. Basceri, Cem; Sandhu, Gurtej S., Reactors having gas distributors and methods for depositing materials onto micro-device workpieces.
  234. Carpenter, Craig M.; Dando, Ross S.; Dynka, Danny, Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces.
  235. Dando, Ross S., Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces.
  236. Derderian, Garo J., Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces.
  237. Komino Mitsuaki,JPX, Reduced pressure and normal pressure treatment apparatus.
  238. Maydan Dan ; Nowak Romuald ; Sinha Ashok K., Remote plasma source for chamber cleaning.
  239. Nakamura Teruhisa (Shin Nanyo JPX), Seal assembly for thermal treatment furnaces using an atmospheric gas containing hydrogen gas.
  240. Donakowski William A. (Dearborn Heights MI) Morgan John R. (Dearborn Heights MI), Selective tin deposition onto aluminum piston skirt areas.
  241. Herchen Harald ; Brown William ; Nzeadibe Ihi ; Kujaneck Dan, Semiconductor process chamber and processing method.
  242. Bosch, William Frederick; Dynan, Stephen Anthony; Shull, Marc David, Semiconductor processing equipment having improved particle performance.
  243. Turner Charles L. ; Manning Monte, Semiconductor processing method for providing large grain polysilicon films.
  244. Makoto Sekine JP; Nobuo Hayasaka JP; Katsuya Okumura JP, Semiconductor processing system and method of using the same.
  245. Goodwin Dennis L. ; Hawkins Mark R. ; Crabb Richard ; Doley Allan D., Semiconductor processing system with gas curtain.
  246. Arthur Sherman, Sequential chemical vapor deposition.
  247. Tony P. Chiang ; Karl F. Leeser, Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  248. Li, Weimin, Sequential pulse deposition.
  249. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  250. Lee Gil-Gwang (Seoul KRX) Fujihara Kazuyuki (Seoul KRX) Chang Kyu-hwan (Kyungki-do KRX), Showerhead for a gas supplying apparatus.
  251. Rossman, Kent, Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput.
  252. Czaja James J. (Maple Plain MN), Solder stripping solution.
  253. Spahn Robert G., Source for thermal physical vapor deposition of organic electroluminescent layers.
  254. Demaray Richard E. (Portola Valley CA) Herrera Manuel (San Mateo CA) Berkstresser David E. (Los Gatos CA), Sputtering device and target with cover to hold cooling fluid.
  255. Tanaka Makoto (Fukuoka JPX), Sputtering method and apparatus.
  256. Chouno,Yasuhiro; Ito,Norihiro; Satake,Keigo; Iino,Tadashi, Substrate processing apparatus and substrate processing method.
  257. Shang Quanyuan ; Sun Sheng ; Law Kam S. ; Beer Emanuel, Surface-treated shower head for use in a substrate processing chamber.
  258. Aral Gurcan, System and method for controlling pressure.
  259. Nishikawa Kazuyasu,JPX ; Tomohisa Shingo,JPX, System for manufacturing a semiconductor device.
  260. Chiu, George Liang-Tai; Magerlein, John Harold, System of a package fabricated on a semiconductor or dielectric wafer with wiring on one face, vias extending through the wafer, and external connections on the opposing face.
  261. Van Bilsen, Frank B. M., System of controlling the temperature of a processing chamber.
  262. Frankel Jonathan, Systems and methods for controlling the temperature of a vapor deposition apparatus.
  263. Stoddard Kevin ; McHugh Paul R. ; Tsakalis Konstantinos, Temperature control system for a thermal reactor.
  264. Bump Scott S. ; Campbell Gary P. ; Dille Joseph C., Thermal mass flowmeter and mass flow controller, flowmetering system and method.
  265. Saito Yukimasa,JPX, Thermal processing system.
  266. Jerome M. Eldridge, Thin dielectric films for DRAM storage capacitors.
  267. Tue Nguyen, Three-dimensional showerhead apparatus.
  268. Smith Gregory C. (Garland TX), Tin chemical vapor deposition using TiCl4 and SiH4.
  269. Hirai Toshio (4-91 ; Takamori 3-Chome Izumi City JPX) Hayashi Shinsuke (4-1-1501 Sakuragaoka-Koen ; Sendai City JPX) Ohkubo Akira (Sendai JPX), Titanium-containing silicon nitride film bodies and a method of producing the same.
  270. Ikeda Towl,JPX ; Horiuchi Takashi,JPX, Trap apparatus.
  271. Chen, Yen-Hsing; Lee, Hsing-Jui; Tseng, Fu-Kuo; Lee, Ching-Ling; Liao, Kuo-Hung, Truncated dummy plate for process furnace.
  272. Freerks Frederik W., Two-piece slit valve door with molded-in-place seal for a vacuum processing system.
  273. Tepman Avi ; Lowrance Robert B., Two-piece slit valve insert for vacuum processing system.
  274. Munakata Yasuo (Kawasaki JPX) Gotou Mineo (Kawasaki JPX), Vacuum device for handling workpieces.
  275. Faria Carl R. (San Leandro CA), Vacuum flange.
  276. Kouketsu, Masayuki, Vacuum pressure control apparatus.
  277. Shikida Mitsuhiro (Kokubunji JPX) Sato Kazuo (Tokyo JPX) Kawamura Yoshio (Kokubunji JPX) Tanaka Shinji (Ibaraki JPX) Horiuchi Yasuaki (Yono JPX) Koide Akira (Chiyoda JPX) Miyada Toshimitsu (Hachioji , Valve and semiconductor fabricating equipment using the same.
  278. Lu, Siqing; Chang, Yu; Sun, Dongxi; Dang, Vinh; Yang, Michael X.; Chang, Anzhong; Nguyen, Anh N.; Xi, Ming, Valve control system for atomic layer deposition chamber.
  279. Ohashi Tadashi,JPX ; Chaki Katuhiro,JPX ; Xin Ping,JPX ; Fujii Tatsuo,JPX ; Iwata Katsuyuki,JPX ; Mitani Shinichi,JPX ; Honda Takaaki,JPX, Vapor deposition apparatus and method for forming thin film.
  280. McMenamin Joseph C. (Oceanside CA), Vapor mass flow control system.
  281. McCormick, Carolyn R.; Jessep, Rebecca A.; Dungan, John H.; Boggs, David W.; Sato, Daryl A., Vented vias for via in pad technology yield improvements.
  282. Cook Robert C. ; Brors Daniel L., Vertical plasma enhanced process apparatus and method.
  283. Okuda, Kazuyuki; Yagi, Yasushi; Kagaya, Toru; Sakai, Masanori, Vertical type semiconductor device producing apparatus.
  284. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  285. Kawamura Yoshio (Kokubunji JPX) Kawamoto Yoshifumi (Kanagawa-ken JPX) Uchida Fumihiko (Hachioji JPX) Mizuishi Kenichi (Hachioji JPX) Yokoyama Natsuki (Mitaka JPX) Murakami Eiichi (Tokorozawa JPX) Nak, Wafer transport method.
  286. Arya Prakash V. ; Holst Mark ; Carpenter Kent ; Lane Scott, Weeping weir gas/liquid interface structure.
  287. Tsukada Shinichi (Iwatsuki JPX) Miyazaki Shunzo (Iwatsuki JPX) Yoshizawa Hideyuki (Iwatsuki JPX) Tamura Michiya (Iwatsuki JPX) Matsushima Koji (Iwatsuki JPX), Welded cans.

이 특허를 인용한 특허 (26)

  1. Ootsuka, Fumio, 3D stacked multilayer semiconductor memory using doped select transistor channel.
  2. Oosterlaken, Theodorus; de Ridder, Chris; Jdira, Lucian, Apparatus and method for manufacturing a semiconductor device.
  3. Lam, Hyman W. H.; Zheng, Bo; Ai, Hua; Jackson, Michael; Yuan, Xiaoxiong; Wang, Hougong; Umotoy, Salvador P.; Yu, Sang Ho, Apparatuses and methods for atomic layer deposition.
  4. den Hartog Besselink, Edwin; Garssen, Adriaan; Dirkmaat, Marco, Cassette holder assembly for a substrate cassette and holding member for use in such assembly.
  5. Raisanen, Petri; Shero, Eric; Haukka, Suvi; Milligan, Robert Brennan; Givens, Michael Eugene, Deposition of metal borides.
  6. Nagaoka, Hideki; Koizumi, Hiroshi; Ooyabu, Jun; Shimazu, Tsuyoshi; Endo, Hiroki; Ito, Keiki; Hayashi, Daisuke, Flow rate control system and shower plate used for partial pressure control system.
  7. Milligan, Robert Brennan, Formation of boron-doped titanium metal films with high work function.
  8. Pore, Viljami, Method and apparatus for filling a gap.
  9. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Aerde, Steven R. A.; Haukka, Suvi; Fukuzawa, Atsuki; Fukuda, Hideaki, Method and apparatus for filling a gap.
  10. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Der Star, Gido; Suzuki, Toshiya, Method and apparatus for filling a gap.
  11. Kang, DongSeok, Method for depositing thin film.
  12. Kato, Richika; Nakano, Ryu, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  13. Zaitsu, Masaru; Kobayashi, Nobuyoshi; Kobayashi, Akiko; Hori, Masaru; Kondo, Hiroki; Tsutsumi, Takayoshi, Method of cyclic dry etching using etchant film.
  14. Knaepen, Werner; Maes, Jan Willem; Jongbloed, Bert; Kachel, Krzysztof Kamil; Pierreux, Dieter; De Roest, David Kurt, Method of forming a structure on a substrate.
  15. Lee, Choong Man; Yoo, Yong Min; Kim, Young Jae; Chun, Seung Ju; Kim, Sun Ja, Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method.
  16. Chun, Seung Ju; Yoo, Yong Min; Choi, Jong Wan; Kim, Young Jae; Kim, Sun Ja; Lim, Wan Gyu; Min, Yoon Ki; Lee, Hae Jin; Yoo, Tae Hee, Method of processing a substrate and a device manufactured by using the method.
  17. Kohen, David; Profijt, Harald Benjamin, Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures.
  18. Raisanen, Petri; Givens, Michael Eugene, Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures.
  19. Tetsuka, Tsutomu; Masuda, Toshio; Itabashi, Naoshi; Kadotani, Masanori; Fujii, Takashi, Plasma processing apparatus.
  20. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Process for forming a film on a substrate using multi-port injection assemblies.
  21. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  22. Zhu, Chiyu, Selective film deposition method to form air gaps.
  23. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  24. Okuda, Kazuyuki; Kagaya, Toru; Sakai, Masanori, Substrate processing apparatus.
  25. Jeong, Sang Jin; Han, Jeung Hoon; Choi, Young Seok; Park, Ju Hyuk, Susceptor for semiconductor substrate processing apparatus.
  26. Todd, Michael A.; Weeks, Keith D.; Jacobson, Paul T., System for control of gas injectors.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트