$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Tungsten nitride atomic layer deposition processes

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/336
  • H01L-021/02
출원번호 US-0532114 (2006-09-15)
등록번호 US-7429516 (2008-09-30)
발명자 / 주소
  • Wang,Shulin
  • Kroemer,Ulrich
  • Luo,Lee
  • Chen,Aihua
  • Li,Ming
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Patterson & Sheridan, LLP
인용정보 피인용 횟수 : 8  인용 특허 : 226

초록

In one embodiment, a method for forming a tungsten barrier material on a substrate is provided which includes depositing a tungsten layer on a substrate during a vapor deposition process and exposing the substrate sequentially to a tungsten precursor and a nitrogen precursor to form a tungsten nitri

대표청구항

The invention claimed is: 1. A The method for forming a tungsten barrier material on a substrate, comprising: exposing a substrate to a tungsten precursor to form a tungsten layer thereon, wherein the tungsten precursor is selected from the group consisting of tungsten hexafluoride, tungsten carbon

이 특허에 인용된 특허 (226)

  1. Gurtej Sandhu ; Garo J. Derderian, ALD method to improve surface coverage.
  2. Chiang, Tony P.; Leeser, Karl F.; Brown, Jeffrey A.; Babcoke, Jason E., Adsorption process for atomic layer deposition.
  3. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices.
  4. Ofer Sneh ; Carl J. Galewski, Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition.
  5. Sneh Ofer ; Galewski Carl J., Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition.
  6. Steger Robert J. ; Redeker Fred C., Apparatus and method for cleaning of semiconductor process chamber surfaces.
  7. Raaijmakers, Ivo, Apparatus and method for growth of a thin film.
  8. Yoder Max N. (Falls Church VA), Apparatus for and a method of growing thin films of elemental semiconductors.
  9. Scholz Christoph (Schliersee DEX), Apparatus for atomic layer epitaxial growth.
  10. Wittstock Gerhard (Uetersen DEX), Apparatus for controlling operation of a lapping, honing or polishing machine.
  11. Stall Richard A. (Warren NJ) Tompa Gary S. (Somerville NJ) Gurary Alexander (Bridgewater NJ) Nelson Craig R. (Berkeley Heights NJ), Apparatus for depositing a coating on a substrate.
  12. Kim Yong II,KRX ; Shin Joong Ho,KRX ; Yun Yeo Heung,KRX, Apparatus for deposition of thin films on wafers through atomic layer epitaxial process.
  13. Eres Djula (Knoxville TN) Sharp Jeffrey W. (Knoxville TN), Apparatus for externally controlled closed-loop feedback digital epitaxy.
  14. Soininen Pekka,FIX ; Patteri Janne,FIX, Apparatus for growing thin films.
  15. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Apparatus for performing growth of compound thin films.
  16. Tsai Charles Su-Chang, Apparatus of chemical vapor for producing layer variation by planetary susceptor rotation.
  17. Van Wijck, Margreet Albertine Anne-Marie, Atomic layer deposition.
  18. Hyun Kwang-Soo,KRX ; Park Kyung-ho,KRX ; Yoon Neung-goo,KRX ; Choi Kang-jun,KRX ; Jeong Soo-hong,KRX, Atomic layer deposition apparatus for depositing atomic layer on multiple substrates.
  19. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  20. Yoder Max N. (Falls Church VA), Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors.
  21. Yokoyama Haruki (Kanagawa JPX) Shinohara Masanori (Kanagawa JPX), Atomic layer epitaxy method and apparatus.
  22. Sakuma Yoshiki (Kawasaki JPX) Ozeki Masashi (Kawasaki JPX) Ohtuka Nobuyuki (Kawasaki JPX) Kodama Kunihiko (Takarazuka JPX), Atomic layer epitaxy of compound semiconductor.
  23. Sandhu Gurtej Singh, Barrier layer cladding around copper interconnect lines.
  24. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  25. McKee Rodney Allen ; Walker Frederick Joseph, CaTiO.sub.3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films.
  26. Erbil Ahmet (Atlanta GA), Chemical vapor deposition of mixed metal oxide coatings.
  27. Rajagopalan Ravi ; Ghanayem Steve ; Yamazaki Manabu,JPX ; Ohtsuka Keiichi,JPX ; Maeda Yuji,JPX, Chemical vapor deposition process for depositing tungsten.
  28. Skarp Jarmo I. (Helsinki FIX), Combination film, in particular for thin film electroluminescent structures.
  29. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartnetalized substrate processing chamber.
  30. Tony P. Chiang ; Karl F. Leeser, Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  31. Chen, Ling; Ganguli, Seshadri; Marcadal, Christophe; Cao, Wei; Mosely, Roderick C.; Chang, Mei, Copper interconnect barrier layer structure and formation method.
  32. Arimoto Satoshi (Itami JPX), Crystal growth method.
  33. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  34. Wang,Shulin; Kroemer,Ulrich; Luo,Lee; Chen,Aihua; Li,Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  35. Chan Lap ; Zheng Jia Zhen,SGX, Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer.
  36. Kai-Erik Elers FI; Suvi P. Haukka FI; Ville Antero Saanila FI; Sari Johanna Kaipio FI; Pekka Juha Soininen FI, Deposition of transition metal carbides.
  37. Bang Won B. ; Yieh Ellie ; Pham Thanh, Deposition resistant lining for CVD chamber.
  38. DiMeo ; Jr. Frank ; Bilodeau Steven M. ; Van Buskirk Peter C., Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer.
  39. Wallace Robert M. (Dallas TX) Gnade Bruce E. (Rowlett TX), Directed effusive beam atomic layer epitaxy system and method.
  40. Sunakawa Haruo (Tokyo JPX), Doping III-V compound semiconductor devices with group VI monolayers using ALE.
  41. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  42. Nishizawa Hitoshi (Iwakura JPX) Shibata Kazuyoshi (Nagoya JPX), Electrochemical gas sensor, and method for manufacturing the same.
  43. Sugiura Kazuhiko,JPX ; Katayama Masayuki,JPX ; Ito Nobuei,JPX, Electroluminescent device and method of producing the same.
  44. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  45. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with composite atomic barrier film and process for making same.
  46. Aspnes David E. (Watchung NJ) Quinn William E. (Middlesex Boro NJ), Ellipsometric control of material growth.
  47. Tsai Ming-Hsing,TWX ; Cheu Sheng-Hsiung,TWX, Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers.
  48. Wollnik Hermann (Fernwald DEX) Klein Christoph (Bad Endbach DEX), Fast timing position sensitive detector.
  49. Imai Masayuki (Kofu JPX) Nishimura Toshiharu (Kofu JPX), Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily.
  50. Watabe Masahiro (Kawasaki JPX), Flow control valve for use in fabrication of semiconductor devices.
  51. Pin-Chin C. Wang ; Sergey Lopatin, Formation of alloy material using alternating depositions of alloy doping element and bulk material.
  52. Byun, Jeong Soo; Mak, Alfred, Formation of boride barrier layers using chemisorption techniques.
  53. Jrgensen Holger (Aachen DEX), Gas inlet for a plurality of reactant gases into reaction vessel.
  54. Schumaker Norman E. (Warren NJ) Stall Richard A. (Warren NJ) Nelson Craig R. (Green Village NJ) Wagner Wilfried R. (Basking Ridge NJ), Gas treatment apparatus and method.
  55. Sunakawa Haruo (Tokyo JPX), Group VI doping of III-V semiconductors during ALE.
  56. Cheng Hwa (Woodbury MN) DePuydt James M. (St. Paul MN) Haase Michael A. (Woodbury MN) Qiu Jun (Woodbury MN), Growth of II VI laser diodes with quantum wells by atomic layer epitaxy and migration enhanced epitaxy.
  57. Nishizawa Junichi (Miyai-ken JPX) Abe Hitoshi (Miyai-ken JPX) Suzuki Soubei (1-3 ; Otamayahshita Sendai-shi ; Miyagi-ken JPX), Growth of doped semiconductor monolayers.
  58. Aftergut Siegfried (Schenectady NY) Ackerman John Frederick (Cheyenne WY), Hermetically sealed radiation imager.
  59. Aftergut Siegfried ; Ackerman John Frederick, Hermetically sealed radiation imager.
  60. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate.
  61. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same.
  62. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same.
  63. Van Hove James M. (Eagan MN) Kuznia Jon N. (Bloomington MN) Olson Donald T. (Roseville MN) Kahn Muhammad A. (White Bear Lake MN) Blasingame Margaret C. (Moundsview MN), High responsivity ultraviolet gallium nitride detector.
  64. Zhao Jun ; Luo Lee ; Jin Xiao Liang ; Wang Jia-Xiang ; Wolff Stefan ; Sajoto Talex, High temperature, high deposition rate process and apparatus for depositing titanium layers.
  65. Gaines James M. (Mohegan Lake NY) Petruzzello John (Carmel NY), II-VI compound semiconductor epitaxial layers having low defects, method for producing and devices utilizing same.
  66. Nishizawa Junichi (Miyagi JPX) Aoki Kenji (Tokyo JPX), Impurity doping method with adsorbed diffusion source.
  67. Bension Rouvain M. (310 Summit Ave. Brookline MA 02146) Truesdale Larry K. (27 Wetherill La. Chester Springs PA 19425), Initiation and bonding of diamond and other thin films.
  68. Helms Dirk (Ahrensburg DEX), Inserting device for vacuum apparatus.
  69. Park In-seon,KRX ; Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Kim Byung-hee,KRX ; Lee Sang-min,KRX ; Park Chang-soo,KRX, Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature.
  70. Bang Won ; Yieh Ellie ; Pham Thanh, Lid assembly for a process chamber employing asymmetric flow geometries.
  71. Nguyen, Anh N.; Yang, Michael X.; Xi, Ming; Chung, Hua; Chang, Anzhong; Yuan, Xiaoxiong; Lu, Siqing, Lid assembly for a processing system to facilitate sequential deposition techniques.
  72. Wang Yen-Kun ; Chang Fong ; Pham Thanh ; Plante Jeff, Liquid flow rate estimation and verification by direct liquid measurement.
  73. Li Ting Kai ; Gurary Alexander I. ; Scott Dane C., Liquid vaporizer system and method.
  74. Nguyen Tue ; Hsu Sheng Teng, Low resistance contact between integrated circuit metal levels and method for same.
  75. Mak Alfred ; Lai Kevin ; Leung Cissy ; Sauvage Dennis,FRX, Low resistivity W using B2H6 nucleation step.
  76. Yamamoto Yoshitsugu (Tokyo JPX) Kadoiwa Kaoru (Tokyo JPX), MBE apparatus and gas branch piping apparatus.
  77. Aoki Kenji,JPX ; Takada Ryoji,JPX, MOS field effect transistor and its manufacturing method.
  78. Jrgensen Holger (Aachen DEX) Grter Klaus (Aachen DEX) Deschler Marc (Hauset DEX) Balk Pieter (Aachen DEX), Material-saving process for fabricating mixed crystals.
  79. Aucoin Thomas R. (Ocean NJ) Wittstruck Richard H. (Howell NJ) Zhao Jing (Ellicott MD) Zawadzki Peter A. (Plainfield NJ) Baarck William R. (Fair Haven NJ) Norris Peter E. (Cambridge MA), Method and apparatus for depositing a refractory thin film by chemical vapor deposition.
  80. Byun, Jeong Soo, Method and apparatus for depositing tungsten after surface treatment to improve film characteristics.
  81. Jevtic Dusan, Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer pr.
  82. Suntola Tuomo,FIX ; Lindfors Sven,FIX ; Soininen Pekka,FIX, Method and equipment for growing thin films.
  83. Alessandra Satta BE; Karen Maex BE; Kai-Erik Elers FI; Ville Antero Saanila FI; Pekka Juha Soininen FI; Suvi P. Haukka FI, Method for bottomless deposition of barrier layers in integrated circuit metallization schemes.
  84. Iyer Ravi ; Vasilyeva Irina, Method for depositing a tungsten layer on silicon.
  85. Mochizuki Yuji (Tokyo JPX) Chiba Yoshie (Tokyo JPX) Takada Toshikazu (Tokyo JPX) Usui Akira (Tokyo JPX), Method for epitaxial growth of semiconductor crystal by using halogenide.
  86. Liu Jiang (Raleigh NC) Wolter Scott (Zebulon NC) McClure Michael T. (Raleigh NC) Stoner Brian R. (Chapel Hill NC) Glass Jeffrey T. (Apex NC) Hren John J. (Cary NC), Method for forming a diamond coated field emitter and device produced thereby.
  87. Matsumoto Tomotaka (Kawasaki JPX) Inoue Jun (Kawasaki JPX) Ichimura Teruhiko (Kawasaki JPX) Murata Yuji (Kawasaki JPX) Watanabe Junichi (Kawasaki JPX) Nagahiro Yoshio (Kawasaki JPX) Hodate Mari (Kawa, Method for forming a film and method for manufacturing a thin film transistor.
  88. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Kim Young-sun,KRX, Method for forming dielectric film of capacitor having different thicknesses partly.
  89. Yun-sook Chae KR; Sang-bom Kang KR; Gil-heyun Choi KR; In-sang Jeon KR, Method for forming metal layer of semiconductor device using metal halide gas.
  90. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  91. Kobayashi Naoki (Iruma JPX) Sugiura Hideo (Katsuta JPX) Horikoshi Yoshiji (Akishima JPX), Method for forming thin films of compound semiconductors by flow rate modulation epitaxy.
  92. Wang Xue-Lun,JPX ; Ogura Mutsuo,JPX, Method for growing Group III atomic layer.
  93. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome ; Sendai-shi Miyagi JPX) Aoki Kenji (Matsudo JPX), Method for growing single crystal thin films of element semiconductor.
  94. Nishizawa Junichi (Sendai JPX) Aoki Kenji (Matsudo JPX), Method for growing single crystal thin films of element semiconductor.
  95. Suntola Tuomo,FIX ; Lindfors Sven,FIX, Method for growing thin films.
  96. Ritala, Mikko; Rahtu, Antti; Leskela, Markku; Kukli, Kaupo, Method for growing thin oxide films.
  97. Petroff Pierre M. (Santa Barbara CA) Kroemer Herbert (Santa Barbara CA), Method for growing tilted superlattices.
  98. Kao Chien-Teh ; Tsai Kenneth ; Pham Quyen ; Rose Ronald L. ; Augason Calvin R. ; Yudovsky Joseph, Method for improved remote microwave plasma source for use with substrate processing system.
  99. Leem Hyeun-seog,KRX, Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method.
  100. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Lee Sang-min,KRX, Method for manufacturing thin film using atomic layer deposition.
  101. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  102. Gaines James Matthew ; Petruzzello John, Method for producing II-VI compound semiconductor epitaxial layers having low defects.
  103. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  104. Foster Robert F. ; Hillman Joseph T. ; LeBlanc Rene E., Method for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor.
  105. Lee, Sang-Hyeob; Collins, Joshua, Method for producing ultra-thin tungsten layers with improved step coverage.
  106. Kang Sang-Bom,KRX ; Lee Sang-In,KRX, Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device.
  107. Habuka Hitoshi,JPX ; Mayuzumi Masanori,JPX ; Tate Naoto ; Katayama Masatake,JPX, Method of chemical vapor deposition and reactor therefor.
  108. Tsai Charles Su-Chang, Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation.
  109. Sergey D. Lopatin ; Carl Galewski ; Takeshi T. N. Nogami JP, Method of copper interconnect formation using atomic layer copper deposition.
  110. Yun-sook Chae KR; In-sang Jeon KR; Sang-bom Kang KR; Sang-in Lee KR; Kyu-wan Ryu KR, Method of delivering gas into reaction chamber and shower head used to deliver gas.
  111. Danek, Michal; Levy, Karl B.; Choe, Hyoun S., Method of depositing diffusion barrier for copper interconnect in integrated circuit.
  112. Eres Djula (Knoxville TN) Sharp Jeffrey W. (Knoxville TN), Method of digital epilaxy by externally controlled closed-loop feedback.
  113. Nishizawa Jun-ichi (Miyagi JPX) Kurabayashi Toru (Miyagi JPX), Method of epitaxially growing compound crystal and doping method therein.
  114. Nishizawa Jun-ichi (6-16 ; Komegafukuro 1-chome ; Aoba-ku Sendai-shi ; Miyagi-ken JPX) Kurabayashi Toru (Sendai JPX), Method of epitaxially growing semiconductor crystal using light as a detector.
  115. Aoki Kenji (Tokyo JPX) Shimbo Masafumi (Tokyo JPX), Method of fabricating MOS field effect transistor.
  116. Iizuka, Toshihiro; Yamamoto, Tomoe, Method of fabricating a high dielectric constant metal oxide capacity insulator film using atomic layer CVD.
  117. Naito Yasushi,JPX ; Ito Yutaka,JPX ; Hirofuji Yuichi,JPX, Method of fabricating a high-density dynamic random-access memory.
  118. Okamura Kenji (Tokyo JPX) Zenke Masanobu (Tokyo JPX) Den Yasuhide (Tokyo JPX), Method of fabricating semiconductor devices.
  119. Chung, Hua; Chen, Ling; Ku, Vincent W., Method of film deposition using activated precursor gases.
  120. Srinivas, Ramanujapuram A.; Metzger, Brian; Wang, Shulin; Wu, Frederick C., Method of forming a titanium silicide layer on a substrate.
  121. Shih Chun-Yi,TWX ; Hsu Shun-Liang,TWX ; Ting Jyh-Kang,TWX, Method of forming a tungsten silicide capacitor having a high breakdown voltage.
  122. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Method of forming graded thin films using alternating pulses of vapor phase reactants.
  123. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  124. Hyun-Seok Lim KR; Sang-Bom Kang KR; In-Sang Jeon KR; Gil-Heyun Choi KR, Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same.
  125. Kang Sang-bom,KRX ; Park Chang-soo,KRX ; Chae Yun-sook,KRX ; Lee Sang-in,KRX, Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same.
  126. Sang-bum Kang KR; Yun-sook Chae KR; Sang-in Lee KR; Hyun-seok Lim KR; Mee-young Yoon KR, Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same.
  127. Yeong-Kwan Kim KR; Young-Wook Park KR; Seung-Hwan Lee KR, Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane.
  128. Pessa Markus (Tampere FIX) Asonen Harry (Tampere FIX) Varrio Jukka (Tampere FIX) Salokatve Arto (Tampere FIX), Method of growing GaAs films on Si or GaAs substrates using ale.
  129. Soininen Erkki Lauri,FIX ; Harkonen Gitte,FIX ; Lahonen Marja,FIX ; Tornqvist Runar,FIX ; Viljanen Juha,FIX, Method of growing a ZnS:Mn phosphor layer for use in thin-film electroluminescent components.
  130. Otsuka Nobuyuki (Kawasaki JPX), Method of growing a semiconductor layer and a fabrication method of a semiconductor device using such a semiconductor la.
  131. Mochizuki Kouji (Isehara JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy.
  132. Shimbo Masafumi (31-1 ; Kameido 6-chome Koto-ku ; Tokyo JPX), Method of growing compound semiconductor thin film using multichamber smoothing process.
  133. Pekka J. Soininen FI; Kai-Erik Elers FI; Suvi Haukka FI, Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH.
  134. Mochizuki Kouji (Isehara JPX) Ozeki Masashi (Yokohama JPX) Ohtsuka Nobuyuki (Atsugi JPX), Method of growing group III-V compound semiconductor epitaxial layer.
  135. Harkonen Gitte,FIX ; Kervinen Tomi,FIX ; Soininen Erkki,FIX ; Tornqvist Runar,FIX ; Vasama Kirsi,FIX ; Glanz Mario,DEX ; Schumann Herbert,DEX, Method of growing thin film electroluminescent structures.
  136. Turner Norman L. (Mountain View CA) White John MacNeill (Los Gatos CA) Berkstresser David (Los Gatos CA), Method of heating and cooling large area glass substrates.
  137. Vijayendran Anil Justin, Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer.
  138. Graf Volker (Wollerau CHX) Mueller Carl A. (Hedingen CHX), Method of making artificial layered high Tc superconductors.
  139. In-sang Jeon KR; Sang-bom Kang KR; Hyun-seok Lim KR; Gil-heyun Choi KR, Method of manufacturing a barrier metal layer using atomic layer deposition.
  140. Nishizawa Jun-ichi (Sendai JPX) Kurabayashi Toru (Sendai JPX), Method of manufacturing a static induction field-effect transistor.
  141. Nasu Yasuhiro (Sagamihara JPX) Okamoto Kenji (Hiratsuka JPX) Watanabe Jun-ichi (Kawasaki JPX) Endo Tetsuro (Atsugi JPX) Soeda Shinichi (Hiratsuka JPX), Method of manufacturing active matrix display device using insulation layer formed by the ale method.
  142. Merchant Sailesh M. ; Misra Sudhanshu ; Roy Pradip K., Method of passivating copper interconnects in a semiconductor.
  143. Olubunmi O. Adetutu ; Yeong-Jyh T. Lii ; Paul A. Grudowski, Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same.
  144. Aoki Kenji,JPX ; Akamine Tadao,JPX ; Kojima Yoshikazu,JPX, Method of producing a bipolar transistor.
  145. Dautartas Mindaugas F. ; Manchanda Lalita, Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants.
  146. Chen, Fusen; Chen, Ling; Glenn, Walter Benjamin; Gopalraja, Praburam; Fu, Jianming, Methods and apparatus for forming barrier layers in high aspect ratio vias.
  147. Major Jo S. ; Welch David F. ; Scifres Donald R., Methods for forming group III-V arsenide-nitride semiconductor materials.
  148. Choi, Gil-Heyun; Lee, Jong-Ho; Choi, Kyung-In; Kim, Byung-Hee, Methods of forming dual gate semiconductor devices having a metal nitride layer.
  149. Leem Hyeun-Seog,KRX, Methods of forming smooth conductive layers for integrated circuit devices.
  150. Seung-hwan Lee KR; Yeong-kwan Kim KR; Dong-chan Kim KR; Young-wook Park KR, Methods of forming thin films by atomic layer deposition.
  151. Falconer John L. ; George Steven M. ; Ott Andrew W. ; Klaus Jason W. ; Noble Richard D. ; Funke Hans H., Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition.
  152. Stark Lawrence R. (San Jose CA) Turner Frederick (Sunnyvale CA), Modular wafer transport and processing system.
  153. Yitzchaik Shlomo,ILX ; Burtman Vladimir,ILX, Molecular layer epitaxy method and compositions.
  154. Tokumitsu Jun (Sagamihara JPX) Sekine Masayoshi (Tokyo JPX) Kondo Toshiaki (Atsugi JPX) Takahashi Koji (Yokohama JPX) Harigaya Isao (Yokohama JPX) Yoshii Minoru (Tokyo JPX) Suda Shigeyuki (Yokohama J, Movement detection device and focus detection apparatus using such device.
  155. Maydan Dan (Los Altos Hills CA) Somekh Sasson (Redwood City CA) Wang David N. (Cupertino CA) Cheng David (San Jose CA) Toshima Masato (San Jose CA) Harari Isaac (Mountain View CA) Hoppe Peter D. (Sun, Multi-chamber integrated process system.
  156. Sato Junichi (Tokyo JPX) Hasegawa Toshiaki (Kanagawa JPX) Komatsu Hiroshi (Kanagawa JPX), Multi-chamber wafer process equipment having plural, physically communicating transfer means.
  157. Muka Richard S., Multi-level substrate processing apparatus.
  158. Kim Je-Ha,KRX ; Han Seok-Kil,KRX ; Kang Kwang-Yong,KRX, Multi-target manipulator for pulsed laser deposition apparatus.
  159. Maydan Dan ; Somekh Sasson ; Wang David Nin-Kou ; Cheng David ; Toshima Masato ; Harari Isaac ; Hoppe Peter D., Multiple chamber integrated process system.
  160. Doering Kenneth ; Galewski Carl J., Multipurpose processing chamber for chemical vapor deposition processes.
  161. Aspnes David E. (Watchung NJ) Bhat Rajaram (Red Bank NJ) Colas Etienne G. (Asbury Park NJ) Florez Leigh T. (Atlantic Highlands NJ) Harbison James P. (Fair Haven NJ) Studna Amabrose A. (Raritan NJ), Optical control of deposition of crystal monolayers.
  162. Randhawa Harbhajan S. (Boulder CO) Buske Jeffrey M. (Boulder CO), Physical vapor deposition dual coating process.
  163. Foley Henry C. (Newark DE) Varrin ; Jr. Robert D. (Newark DE) Sengupta Sourav K. (Newark DE), Plasma-induced, in-situ generation, transport and use or collection of reactive precursors.
  164. Knuuttila Hilkka (Porvoo FIX) Lakomaa Eeva-Liisa (Espoo FIX), Polymerization catalyst for olefines.
  165. Kobayashi Naoki (Iruma JPX) Makimoto Toshiki (Tokorozawa JPX) Horikoshi Yoshiji (Akishima JPX), Preparation process of compound semiconductor.
  166. Lee Young Chong,KRX, Pretreatment of semiconductor substrate.
  167. Boitnott Charles A. (Half Moon Bay CA) Caughran James W. (Lodi CA) Egbert Steve (Palo Alto CA), Process chamber sleeve with ring seals for isolating individual process modules in a common cluster.
  168. Chang Mei (Cupertino CA) Leung Cissy (Fremont CA) Wang David N. (Saratoga CA) Cheng David (San Jose CA), Process for CVD deposition of tungsten layer on semiconductor wafer.
  169. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sandai-shi ; Miyagi-ken JPX) Abe Hitoshi (1-3 ; Otamayashita Sendai JPX) Suzuki Soubei (1-3 ; Otamayashita Sendai-shi ; Miyagi-ken JPX), Process for forming a thin film of silicon.
  170. Drewery, John S.; Powell, Ronald A., Process for forming barrier/seed structures for integrated circuits.
  171. Nishizawa Junichi (Sendai JPX) Abe Hitoshi (Sendai JPX), Process for growing GaAs monocrystal film.
  172. Saanila, Ville Antero; Elers, Kai-Erik; Kaipio, Sari Johanna; Soininen, Pekka Juha, Process for growing metalloid thin films utilizing boron-containing reducing agents.
  173. Comizzoli Robert Benedict ; Dautartas Mindaugas Fernand ; Osenbach John William, Process for passivating semiconductor laser structures with severe steps in surface topography.
  174. Putkonen, Matti, Process for producing oxide thin films.
  175. Matsumoto Takashi (Tokyo JPX), Process for the growth of III-V group compound semiconductor crystal on a Si substrate.
  176. Doering Kenneth ; Galewski Carl J. ; Gadgil Prasad N. ; Seidel Thomas E., Processing chamber for atomic layer deposition processes.
  177. Ackerman John F. (Cheyenne WY), Production of diffuse reflective coatings by atomic layer epitaxy.
  178. Kai-Erik Elers FI; Ville Antero Saanila FI; Sari Johanna Kaipio FI; Pekka Juha Soininen FI, Production of elemental thin films using a boron-containing reducing agent.
  179. Ivo Raaijmakers NL; Pekka T. Soininen FI; Ernst H. A. Granneman NL; Suvi P. Haukka FI, Protective layers prior to alternating layer deposition.
  180. Raaijmakers, Ivo; Soininen, Pekka T.; Granneman, Ernst H. A.; Haukka, Suvi P., Protective layers prior to alternating layer deposition.
  181. Ofer Sneh, Radical-assisted sequential CVD.
  182. Ofer Sneh, Radical-assisted sequential CVD.
  183. Sneh Ofer, Radical-assisted sequential CVD.
  184. Cooper Clark V. ; Bushnell Paul J. ; Mertell Martin M., Rotary compressor with reduced lubrication sensitivity.
  185. Kitch Vassili, Self-aligned copper interconnect structure and method of manufacturing same.
  186. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitochi (22-11 ; Midorigaoka 1-chome Sendai-shi ; Miyagi-ken JPX), Semiconductor crystal growth apparatus.
  187. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitoshi (Sendai JPX), Semiconductor crystal growth method.
  188. Nishizawa Jun-ichi (6-16 ; Komegafukuro 1-chome Aoka-ku ; Sendai-shi ; Miyagi-ken ; 980 JPX) Kurabayashi Toru (Sendai JPX), Semiconductor device comprising a highspeed static induction transistor.
  189. Mee-Young Yoon KR; Sang-In Lee KR; Hyun-Seok Lim KR, Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer.
  190. Park, Hee-sook; Choi, Gil-heyun; Lee, Seung-hwan; Lee, Yun-jung, Semiconductor device having barrier layer between ruthenium layer and metal layer and method for manufacturing the same.
  191. Kwon Dong-chul,KRX ; Wee Young-jin,KRX ; Shin Hong-jae,KRX ; Kim Sung-jin,KRX, Semiconductor device having improved metal line structure and manufacturing method therefor.
  192. Imahashi Issei (Yamanashi-ken JPX), Semiconductor processing system.
  193. Arthur Sherman, Sequential chemical vapor deposition.
  194. Sherman Arthur, Sequential chemical vapor deposition.
  195. Chiang, Tony P.; Leeser, Karl F., Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  196. Tony P. Chiang ; Karl F. Leeser, Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  197. Young Joseph R. ; Rodriguez Benjamin Garcia ; Barry James R., Signal tower controller.
  198. Connell George A. N. (Cupertino CA) Fenner David B. (Menlo Park CA) Boyce James B. (Los Altos CA) Fork David K. (Palo Alto CA), Silicon substrate having an epitaxial superconducting layer thereon and method of making same.
  199. Sandhu Gurtej Singh ; Westmoreland Donald ; Koos Daniel, Slurry with chelating agent for chemical-mechanical polishing of a semiconductor wafer and methods related thereto.
  200. Klaus, Jason W.; George, Steven M., Solid material comprising a thin metal film on its surface and methods for producing the same.
  201. Tepman Avi (Cupertino CA) Grunes Howard (Santa Cruz CA) Somekh Sasson (Los Altos Hills CA) Maydan Dan (Los Altos Hills CA), Staged-vacuum wafer processing system and method.
  202. Yonemitsu Shuji,JPX ; Karino Toshikazu,JPX ; Yoshida Hisashi,JPX ; Watahiki Shinichiro,JPX ; Yoshida Yuji,JPX ; Shimura Hideo,JPX ; Sugimoto Takeshi,JPX ; Aburatani Yukinori,JPX ; Ikeda Kazuhito,JPX, Substrate processing apparatus.
  203. Beaulieu David ; Pippins Michael W., Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer.
  204. Sergey Lopatin, Superconducting damascene interconnected for integrated circuit.
  205. Copel Matthew W. (Katonah NY) Tromp Rudolf M. (Mount Kisco NY), Surfactant-enhanced epitaxy.
  206. Yasuhara, Sakiko; Kadokura, Hidekimi, TANTALUM TERTIARY AMYLIMIDO TRIS (DIMETHYLAMIDE), A PROCESS FOR PRODUCING THE SAME, A SOLUTION OF STARTING MATERIAL FOR MOCVD USING THE SAME, AND A METHOD OF FORMING A TANTALUM NITRIDE FILM USING THE.
  207. Bhandari Gautam ; Baum Thomas H., Tantalum amide precursors for deposition of tantalum nitride on a substrate.
  208. Gautam Bhandari ; Thomas H. Baum, Tantalum amide precursors for deposition of tantalum nitride on a substrate.
  209. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  210. Nakata Yukihiko,JPX ; Fujihara Masaki,JPX ; Date Masahiro,JPX ; Matsuo Takuya,JPX ; Ayukawa Michiteru,JPX ; Itoga Takashi,JPX, Thin-film semiconductor device including a semiconductor film with high field-effect mobility.
  211. John M. Grant ; Olubunmi O. Adetutu ; Yolanda S. Musgrove, Transistor metal gate structure that minimizes non-planarity effects and method of formation.
  212. Goodman Alvin M. (Arlington VA) Yoder Max N. (Falls Church VA), Trenched bipolar transistor structures.
  213. Cornelius Alexander van der Jeugd, Tungsten silicide deposition process.
  214. Hoinkis Mark D., Tungsten-filled deep trenches.
  215. Mohindra Raj ; Bhushan Abhay ; Bhushan Rajiv ; Puri Suraj ; Anderson ; Sr. John H. ; Nowell Jeffrey, Ultra-low particle semiconductor cleaner.
  216. Ilg Matthias ; Kirchhoff Markus ; Werner Christoph,DEX, Uniform distribution of reactants in a device layer.
  217. Halliyal, Arvind; Ramsbey, Mark T.; Chang, Kuo-Tung; Tripsas, Nicholas H.; Ogle, Robert B., Use of high-K dielectric material in modified ONO structure for semiconductor devices.
  218. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitoshi (22-11 ; Midorigaoka 1-chome Sendai-shi ; Miyagi-ken JPX) Suzuki Soubei (1-3 ; Otamayashita Sendai-shi ; Miyagi, Use of infrared radiation and an ellipsoidal reflection mirror.
  219. Kato Susumu (Isawa-Cho JPX) Yamaguchi Hirofumi (Sudama-Cho JPX), Vacuum process apparaus.
  220. Murota Junichi,JPX ; Ono Shoichi,JPX ; Sakuraba Masao,JPX ; Mikoshiba Nobuo,JPX ; Kurokawa Harushige,JPX ; Ikeda Fumihide,JPX, Vapor depositing method.
  221. Ishizumi Takashi,JPX ; Kaneiwa Shinji,JPX, Vapor growth apparatus and vapor growth method capable of growing good productivity.
  222. Chiang, Tony P.; Leeser, Karl F.; Brown, Jeffrey A.; Babcoke, Jason E., Variable gas conductance control for a process chamber.
  223. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  224. Edwards Richard C. (Ringwood NJ) Kolesa Michael S. (Suffern NY) Ishikawa Hiroichi (Mahwah NJ), Wafer processing cluster tool batch preheating and degassing apparatus.
  225. Thorne James M. (Provo UT) Shurtleff James K. (Sandy UT) Allred David D. (Provo UT) Perkins Raymond T. (Provo UT), X-ray wave diffraction optics constructed by atomic layer epitaxy.
  226. Izumi Hirohiko (Sagamihara JPX), .

이 특허를 인용한 특허 (8)

  1. Chung, Hua; Wang, Rongjun; Maity, Nirmalya, Atomic layer deposition of barrier materials.
  2. Yoon, Ki Hwan; Cha, Yonghwa Chris; Yu, Sang Ho; Ahmad, Hafiz Farooq; Wee, Ho Sun, Deposition methods for barrier and tungsten materials.
  3. Lai, Ken K.; Byun, Jeong Soo; Wu, Frederick C.; Srinivas, Ramanujapuran A.; Gelatos, Avgerinos; Chang, Mei; Kori, Moris; Sinha, Ashok K.; Chung, Hua; Fang, Hongbin; Mak, Alfred W.; Yang, Michael X.; Xi, Ming, Formation of composite tungsten films.
  4. Lai, Ken Kaung; Rajagopalan, Ravi; Khandelwal, Amit; Moorthy, Madhu; Gandikota, Srinivas; Castro, Joseph; Gelatos, Avgerinos V.; Knepfler, Cheryl; Jian, Ping; Fang, Hongbin; Huang, Chao-Ming; Xi, Ming; Yang, Michael X.; Chung, Hua; Byun, Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  5. Lu, Xinliang; Jian, Ping; Yoo, Jong Hyun; Lai, Ken Kaung; Mak, Alfred W.; Jackson, Robert L.; Xi, Ming, Pulsed deposition process for tungsten nucleation.
  6. Xi, Ming; Yang, Michael; Zhang, Hui, System and method for forming an integrated barrier layer.
  7. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Tungsten nitride atomic layer deposition processes.
  8. Lee, Sang-Hyeob; Gelatos, Avgerinos V.; Wu, Kai; Khandelwal, Amit; Marshall, Ross; Renuart, Emily; Lai, Wing-Cheong Gilbert; Lin, Jing, Vapor deposition of tungsten materials.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트