$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Methods of fabricating semiconductor structures having epitaxially grown source and drain elements 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/20
  • H01L-021/02
  • H01L-021/36
출원번호 US-0489787 (2006-07-20)
등록번호 US-7439164 (2008-10-21)
발명자 / 주소
  • Langdo,Thomas A.
  • Lochtefeld,Anthony J.
출원인 / 주소
  • AmberWave Systems Corporation
대리인 / 주소
    Goodwin Procter LLP
인용정보 피인용 횟수 : 7  인용 특허 : 285

초록

Methods for fabricating facetless semiconductor structures using commercially available chemical vapor deposition systems are disclosed herein. A key aspect of the invention includes selectively depositing an epitaxial layer of at least one semiconductor material on the semiconductor substrate whil

대표청구항

The invention claimed is: 1. A method of fabricating a semiconductor structure, the method comprising: providing a semiconductor substrate; forming a gate stack over a first portion of the semiconductor substrate, the gate stack comprising a dielectric and defining a channel therebelow; and selecti

이 특허에 인용된 특허 (285)

  1. Lung Hsing Lan,TWX ; Lu Tao Cheng,TWX ; Wang Mam Tsung,TWX, 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate.
  2. Jack Oon Chu ; Khalid Ezzeldin Ismail, Advance integrated chemical vapor deposition (AICVD) for semiconductor.
  3. Chu Jack Oon ; Ismail Khalid Ezzeldin, Advance integrated chemical vapor deposition (AICVD) for semiconductor devices.
  4. Kub Francis J. ; Temple Victor ; Hobart Karl ; Neilson John, Advanced methods for making semiconductor devices by low temperature direct bonding.
  5. Bean John C. (New Providence NJ) Higashi Gregg S. (Basking Ridge NJ) Hull Robert (South Orange NJ) Peticolas Justin L. (Wescosville PA), Article comprising a lattice-mismatched semiconductor heterostructure.
  6. Chu Jack Oon ; Ismail Khalid Ezzeldin ; Lee Kim Yang ; Ott John Albrecht, Bulk and strained silicon on insulator using local selective oxidation.
  7. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  8. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  9. Fischer Hermann,DEX ; Hofmann Franz,DEX, CMOS integrated circuit including forming doped wells, a layer of intrinsic silicon, a stressed silicon germanium layer where germanium is between 25 and 50%, and another intrinsic silicon layer.
  10. Matsumoto Koichi,JPX, CMOS semiconductor device having dual-gate electrode construction and method of production of the same.
  11. Bradbury Donald R. (Palo Alto CA) Tsao Chi-Wing (Piedmont CA) Kamins Theodore I. (Palo Alto CA), CVD lateral epitaxial growth of silicon over insulators.
  12. Murthy Anand ; Chau Robert S., Cobalt salicidation method on a silicon germanium film.
  13. Harame David L. (Mohegan Lake NY) Patton Gary L. (Poughkeepsie NY) Stork Maria C. (Yorktown Heights NY), Complementary bipolar transistor structure and method for manufacture.
  14. Wang Kang L. (Santa Monica CA) Woo Jason C. (Encino CA), Complementary field effect transistors having strained superlattice structure.
  15. Baca Albert G. (Albuquerque NM) Drummond Timothy J. (Albuquerque NM) Robertson Perry J. (Albuquerque NM) Zipperian Thomas E. (Albuquerque NM), Complementary junction heterostructure field-effect transistor.
  16. Yeo, Yee-Chia; Lin, Chun Chieh; Yang, Fu-Liang; Hu, Chen Ming, Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer.
  17. Yeo, Yee-Chia; Lin, Chun-Chieh; Yang, Fu-Liang; Hu, Chen Ming, Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer.
  18. Ismail Khaled E. (Cairo NY EGX) Stern Frank (Pleasantville NY), Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers.
  19. Robert L. Thornton ; Christopher L. Chua, Compliant substrates for growing lattice mismatched films.
  20. Ohori Tatsuya,JPX, Compound semiconductor device constructed on a heteroepitaxial substrate.
  21. Yokoyama Mitsunori,JPX ; Tanaka Hitoshi,JPX ; Wada Jun,JPX, Compound semiconductor device having a reduced source resistance.
  22. Fitzgerald Eugene A., Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization.
  23. Fitzgerald Eugene A., Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization.
  24. Horstmann Manfred,DEX ; Wieczorek Karsten,DEX ; Hause Frederick N., Device improvement by source to drain resistance lowering through undersilicidation.
  25. Bean Kenneth E. (Richardson TX) Malhi Satwinder S. (Garland TX) Runyan Walter R. (Dallas TX), Discretionary gettering of semiconductor circuits.
  26. Narayan Jagdish (Raleigh NC) Fan John C. C. (Chestnut Hill MA), Dislocation density reduction in gallium arsenide on silicon heterostructures.
  27. Psaras Peter A. (Ossining NY) Tu King-Ning (Chappaqua NY) Thompson Richard D (Lake Peekskill NY), Dopant control of metal silicide formation.
  28. Boyanov, Boyan; Doyle, Brian; Kavalieros, Jack; Murthy, Anand; Chau, Robert, Double-gate transistor with enhanced carrier mobility.
  29. Nakatani Mitsunori (Itami JPX), Dual field effect transistor structure employing a single source region.
  30. Harari Eliyahou ; Guterman Daniel C. ; Samachisa George ; Yuan Jack H., Dual floating gate EEPROM cell array with steering gates shared adjacent cells.
  31. Xiang Qi ; Jeon Joong, Dual material gate MOSFET technique.
  32. Tatau Nishinaga JP, ELO semiconductor substrate.
  33. Siang Ping Kwok ; William F. Richardson ; Dirk N. Anderson, Edge stress reduction by noncoincident layers.
  34. Tomioka Yugo (Sagamihara JPX) Iwasa Shoichi (Sagamihara JPX) Sato Yasuo (Sagamihara JPX) Wada Toshio (Sagamihara JPX) Anzai Kenji (Sagamihara JPX), Electrically alterable n-bit per cell non-volatile memory with reference cells.
  35. Moslehi Mehrdad (Los Altos CA), Elevated source/drain junction metal oxide semiconductor field-effect transistor using blanket silicon deposition.
  36. Candelaria Jon J. (Tempe AZ), Enhanced mobility MOSFET device and method.
  37. Wu, Kenneth C.; Fitzgerald, Eugene A.; Borenstein, Jeffrey T., Etch stop layer system.
  38. Minoru Kubo JP; Katsuya Nozawa JP; Masakatsu Suzuki JP; Takeshi Uenoyama JP; Yasuhito Kumabuchi JP, FET having a Si/SiGeC heterojunction channel.
  39. Bin Yu, Fabrication of a wide metal silicide on a narrow polysilicon gate structure.
  40. Dennard Robert H. (Peekskill NY) Meyerson Bernard S. (Yorktown Heights NY) Rosenberg Robert (Peekskill NY), Fabrication of defect free silicon on an insulating substrate.
  41. Kub Francis J. ; Hobart Karl D., Fabrication ultra-thin bonded semiconductor layers.
  42. Wieczorek, Karsten; Stephan, Rolf; Horstmann, Manfred; Kruegel, Stephan, Field effect transistor with an improved gate contact and method of fabricating the same.
  43. Oliver G. Schmidt DE; Karl Eberl DE, Field-effect transistor based on embedded cluster structures and process for its production.
  44. Mei Shaw-Ning ; Vishnesky Edward J., Flash memory structure with floating gate in vertical trench.
  45. Desai Ankur H. ; Vadnais David L. ; Standley Robert W., Flattening process for epitaxial semiconductor wafers.
  46. Kencke David L. ; Banerjee Sanjay K., Floating gate transistor having buried strained silicon germanium channel layer.
  47. Lee, Minjoo L.; Leitz, Christopher W.; Fitzgerald, Eugene A., Formation of planar strained layers.
  48. Fitzgerald, Eugene A.; Hammond, Richard; Currie, Matthew, Gate technology for strained surface channel and strained buried channel MOSFET devices.
  49. Hoffman,Thomas; Cea,Stephen M.; Giles,Martin D., Gate-induced strain for MOS performance improvement.
  50. Crabbe' Emmanuel ; Meyerson Bernard Steele ; Stork Johannes Maria Cornelis ; Verdonckt-Vandebroek Sophie, Graded channel field effect transistor.
  51. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate.
  52. Fitzgerald, Eugene A., Heterointegration of materials using deposition and bonding.
  53. Fitzgerald, Eugene A., Heterointegration of materials using deposition and bonding.
  54. Fitzgerald, Eugene A., Heterointegration of materials using deposition and bonding.
  55. Mori Hideki,JPX ; Gomi Takayuki,JPX, Heterojunction bipolar semiconductor device.
  56. Endo Takahiko (Hino JPX) Katoh Riichi (Yokohama JPX), Heterojunction bipolar transistor.
  57. Fujioka Hiroshi (Tokyo JPX), Heterojunction bipolar transistor.
  58. Takagi, Takeshi; Yuki, Koichiro; Toyoda, Kenji; Kanzawa, Yoshihiko, Heterojunction bipolar transistor and method for fabricating the same.
  59. Forbes Leonard ; Noble Wendell P., High density flash memory.
  60. Shimomura Hiroshi,JPX ; Hirai Takehiro,JPX ; Hayashi Joji,JPX ; Nakamura Takashi,JPX, High frequency ring gate MOSFET.
  61. Ouyang Qiqing ; Tasch ; Jr. Al F. ; Banerjee Sanjay Kumar, High mobility heterojunction transistor and method.
  62. Anderson, Brent A.; Baie, Xavier; Mann, Randy W.; Nowak, Edward J.; Rankin, Jed H., High mobility transistors in SOI and method for forming.
  63. Chu, Jack Oon, High performance FET devices and methods therefor.
  64. Furukawa Toshiharu ; Ellis-Monaghan John Joseph ; Slinkman James Albert, High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe.
  65. Jack Oon Chu ; Richard Hammond ; Khalid EzzEldin Ismail ; Steven John Koester ; Patricia May Mooney ; John A. Ott, High speed composite p-channel Si/SiGe heterostructure for field effect devices.
  66. Brigham Lawrence N. (Beaverton OR) Lee Yung-Huei (Sunnyvale CA) Chau Robert S. (Beaverton OR) Cotner Raymond E. (Beaverton OR), High tensile nitride layer.
  67. Wong Chun Chiu D., Highly compact memory device with nonvolatile vertical transistor memory cell.
  68. Broekaert Tom P. E., III-V nitride resonant tunneling.
  69. Yoshimi Makoto (Tokyo JPX) Inaba Satoshi (Tokyo JPX) Murakoshi Atsushi (Tokyo JPX) Terauchi Mamoru (Tokyo JPX) Shigyo Naoyuki (Tokyo JPX) Matsushita Yoshiaki (Tokyo JPX) Aoki Masami (Tokyo JPX) Hamam, Insulated-gate transistor having narrow-bandgap-source.
  70. Lustig Bernhard,DEX ; Schaefer Herbert,DEX ; Franosch Martin,DEX, Integrated CMOS circuit arrangement and method for the manufacture thereof.
  71. Violette Katherine E. ; Wise Rick L. ; Ashburn Stanton P. ; Nandakumar Mahalingam ; Grider Douglas T., Integrated circuit isolation.
  72. Muller Heinrich G., Inverted layer epitaxial liftoff process.
  73. Ma, Qing; Lee, Jin; Fujimoto, Harry; Dai, Changhong; Lee, Shiuh-Wuu; Eiles, Travis; Seshan, Krishna, Isolation structure configurations for modifying stresses in semiconductor devices.
  74. Thompson Scott ; Bohr Mark T. ; Packan Paul A., Low damage doping technique for self-aligned source and drain regions.
  75. Legoues Francoise Kolmer (Peekskill NY) Meyerson Bernard Steele (Yorktown Heights NY), Low defect density/arbitrary lattice constant heteroepitaxial layers.
  76. Bhat Rajaram (Red Bank NJ) Lo Yu-hwa (Ithaca NY), Low-temperature fusion of dissimilar semiconductors.
  77. Naem, Abdalla Aly, MOS transistor and method of forming the transistor with a channel region in a layer of composite material.
  78. Meyerson Bernard S. (Yorktown Heights NY), Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers.
  79. Henley Francois J. ; Cheung Nathan W., Method for controlled cleaving process.
  80. Kub Francis J. ; Hobart Karl D., Method for fabricating singe crystal materials over CMOS devices.
  81. Sohn Dong Kyun,KRX ; Park Ji Soo,KRX ; Bae Jong Uk,KRX, Method for fabricating thin film at high temperature.
  82. Liaw Hang Ming ; Burt Curtis Lee ; Hong Stella Q. ; Stein Clifford P., Method for forming a semiconductor device having a heteroepitaxial layer.
  83. Bich-Yen Nguyen ; William J. Taylor, Jr. ; Philip J. Tobin ; David L. O'Meara ; Percy V. Gilbert ; Yeong-Jyh T. Lii ; Victor S. Wang, Method for forming a semiconductor device with an opening in a dielectric layer.
  84. Albert Feng-Der Chin TW; Ming-Jang Hwang TW, Method for forming an epitaxial silicon-germanium layer.
  85. Pfiester James R. (Austin TX) Kirsch Howard C. (Austin TX), Method for forming isolation regions in a semiconductor device.
  86. Lin Kang-Cheng,TWX ; Wu Hong-Woei,TWX, Method for forming metal silicide by laser irradiation.
  87. Nakato Tatsuo, Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant.
  88. Liaw Hang M. (Scottsdale AZ) Nguyen Ha T.-T. (Mesa AZ), Method for improved surface planarity in selective epitaxial silicon.
  89. Brasen Daniel (Lake Hiawatha NJ) Fitzgerald ; Jr. Eugene A. (Bridgewater NJ) Green Martin L. (New Providence NJ) Xie Ya-Hong (Flemington NJ), Method for making low defect density semiconductor heterostructure and devices made thereby.
  90. Selvakumar Chettypalayam R. (Waterloo CAX) Chamberlain Savvas G. (Waterloo CAX), Method for making silicon-germanium devices using germanium implantation.
  91. Matsui Masaki,JPX ; Yamauchi Shoichi,JPX ; Ohshima Hisayoshi,JPX ; Onoda Kunihiro,JPX ; Asai Akiyoshi,JPX ; Sasaya Takanari,JPX ; Enya Takeshi,JPX ; Sakakibara Jun,JPX, Method for manufacturing a semiconductor substrate.
  92. Nagashima Naoki,JPX, Method for manufacturing semiconductor device.
  93. Kibbel Horst,DEX ; Kuchenbecker Jessica,DEX, Method for producing epitaxial silicon germanium layers.
  94. Lo Yu-Hwa ; Ejeckam Felix, Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates.
  95. Kant Shree, Method for sizing PMOS pull-up devices.
  96. Karapiperis Leonidas (Bourg la Reine FRX) Pribat Didier (Paris FRX), Method for the directed modulation of the composition or doping of semiconductors, notably for the making of planar type.
  97. Goesele Ulrich M. ; Tong Q.-Y., Method for the transfer of thin layers of monocrystalline material to a desirable substrate.
  98. Mori Kazuo,JPX, Method of bonding a III-V group compound semiconductor layer on a silicon substrate.
  99. Grupen-Shemansky Melissa E. (Phoenix AZ) Cambou Bertrand F. (Mesa AZ), Method of bonding silicon and III-V semiconductor materials.
  100. Nuttall Michael ; Ping Er-Xuan ; Hu Yongjun Jeff, Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor.
  101. Meyerson Bernard S. (Yorktown Heights NY), Method of dopant enhancement in an epitaxial silicon layer by using germanium.
  102. Fitzgerald, Eugene A.; Gerrish, Nicole, Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs.
  103. Gaul Stephen J. (Melbourne FL) Rouse George V. (Indialantic FL), Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process.
  104. Andideh Ebrahim ; Brigham Lawrence ; Chau Robert S. ; Ghani Tahir ; Jan Chia-Hong ; Sandford Justin ; Taylor Mitchell C., Method of fabricating a MOS transistor with a raised source/drain extension.
  105. Shideler, Jay Albert; Prasad, Jayasimha Swamy; Schlupp, Ronald Lloyd; Bechdolt, Robert William, Method of fabricating a bipolar transistor using selective epitaxially grown SiGe base layer.
  106. Murthy, Anand S.; Chau, Robert S.; Morrow, Patrick; Jan, Chia-Hong; Packan, Paul, Method of fabricating a field effect transistor structure with abrupt source/drain junctions.
  107. Fitzgerald, Eugene A., Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits.
  108. Suguro Kyoichi (Yokohama JPX), Method of fabricating a semiconductor device having silicided source/drain regions.
  109. Gardner Mark I. ; Fulford H. Jim ; Wristers Derick J., Method of fabricating a transistor with a dielectric underlayer and device incorporating same.
  110. Mohammad S. Noor (Hopewell Junction NY), Method of fabricating a triple heterojunction bipolar transistor.
  111. Dennard Robert H. (Peekskill NY) Meyerson Bernard S. (Yorktown Heights NY) Rosenberg Robert (Peekskill NY), Method of fabricating defect-free silicon on an insulating substrate.
  112. Lynch William T. (Apex NC) Wang Kang L. (Santa Monica CA) Tanner Martin O. (Duarte CA), Method of fabricating quantum bridges by selective etching of superlattice structures.
  113. Fiorini Paolo,BEX ; Sedky Sherif,EGX ; Caymax Matty,BEX ; Baert Christiaan,BEX, Method of fabrication of an infrared radiation detector and infrared detector device.
  114. Bin Yu ; William G. En ; Judy Xilin An ; Concetta E. Riccobene, Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer.
  115. Chu, Jack O.; Huang, Feng-Yi; Koester, Steven J.; Sadana, Devendra K., Method of forming a SiGe-on-insulator substrate using separation by implantation of oxygen.
  116. Wieczorek Karsten,DEX ; Raab Michael,DEX ; Stephan Rolf,DEX, Method of forming a transistor having a low-resistance gate electrode.
  117. Yee-Chia Yeo ; Fu-Liang Yang TW; Chenming Hu TW, Method of forming a transistor with a strained channel.
  118. Tejwani Manu J. (Yorktown Heights NY) Iyer Subramanian S. (Yorktown Heights NY), Method of forming an ultra-uniform silicon-on-insulator layer.
  119. Gardner Mark I. ; Nguyen Thien T., Method of forming an ultrathin gate dielectric.
  120. Abernathey John R. (Essex VT) Cronin John E. (Milton VT) Lasky Jerome B. (Essex Junction VT), Method of forming metal-strapped polysilicon gate electrode for FET device.
  121. Noguchi, Takashi; Soneda, Mitsuo, Method of forming n-and p- channel field effect transistors on the same silicon layer having a strain effect.
  122. Zdebel Peter J. (Mesa AZ) Vasquez Barbara (Chandler AZ) Liaw Hang M. (Scottsdale AZ) Seelbach Christian A. (Scottsdale AZ), Method of forming planar isolation regions.
  123. Ohori Tatsuya (Kawasaki JPX), Method of growing compound semiconductor.
  124. Dutartre Didier,FRX, Method of implementation of MOS transistor gates with a high content.
  125. Doyle Brian S. ; Roberds Brian ; Lee Jin, Method of increasing the mobility of MOS transistors by use of localized stress regions.
  126. Takasaki Kanetake (Kawasaki JPX), Method of making a compound semiconductor crystal-on-substrate structure.
  127. Curran Patrick A. (Plano TX), Method of making a heterojunction bipolar transistor with SIPOS.
  128. Murthy, Anand S.; Boyanov, Boyan; Soman, Ravindra; Chau, Robert S., Method of making a semiconductor transistor.
  129. Brigham Lawrence N. ; Cotner Raymond E. ; Hussein Makarem A., Method of making a transistor having a deposited dual-layer spacer structure.
  130. Gardner Mark I. ; Fulford H. Jim ; Wristers Derick J., Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication.
  131. Cronin John E. (Milton VT) Kaanta Carter W. (Colchester VT) Mann Randy W. (Jericho VT) Meulemans Darrell (Jericho VT) Starkey Gordon S. (Essex Junction VT), Method of making overpass mask/insulator for local interconnects.
  132. Tseng Horng-Huei,TWX, Method of making titanium silicide source/drains and tungsten silicide gate electrodes for field effect transistors.
  133. Nobuyoshi Hattori JP; Satoshi Yamakawa JP; Junji Nakanishi JP, Method of manufacturing SOI substrate and semiconductor device.
  134. Naruse Hiroshi (Yokohama JPX), Method of manufacturing a bonded semiconductor substrate and a dielectric isolated bipolar transistor.
  135. Cheong, Woo Seock, Method of manufacturing a contact plug for a semiconductor device.
  136. Cheong, Woo Seock; Roh, Eui Beom, Method of manufacturing a contact plug in a semiconductor device using selective epitaxial growth of silicon process.
  137. In Haeng Lee KR, Method of manufacturing a semiconductor device.
  138. Jung Ho Lee KR; Seung Chul Lee KR, Method of manufacturing a semiconductor device.
  139. Nagano Takashi,JPX, Method of manufacturing a semiconductor device with a silicide layer.
  140. Sugiyama, Naoharu; Kurobe, Atsushi; Tezuka, Tsutomu; Mizuno, Tomohisa; Takagi, Shinichi, Method of manufacturing a substrate using an SiGe layer.
  141. Fushida Atsuo,JPX ; Goto Kenichi,JPX ; Yamazaki Tatsuya,JPX ; Sukegawa Takae,JPX ; Kase Masataka,JPX ; Sakuma Takashi,JPX ; Okazaki Keisuke,JPX ; Ota Yuzuru,JPX ; Takagi Hideo,JPX, Method of manufacturing semiconductor device.
  142. Nagaoka, Kojiro; Saito, Masaki, Method of manufacturing semiconductor device.
  143. Nakajima,Takashi; Miura,Hideo; Ohta,Hiroyuki; Okamoto,Noriaki, Method of manufacturing semiconductor device having conductive thin films.
  144. Jung Ho Lee KR, Method of manufacturing transistor having elevated source and drain regions.
  145. Godbey David J. (Burtonsville MD), Method of producing a silicon membrane using a silicon alloy etch stop layer.
  146. Godbey David J. (Bethesda MD) Hughes Harold L. (West River MD) Kub Francis J. (Severna Park MD), Method of producing a thin silicon-on-insulator layer.
  147. Fujioka Hiroshi (Tokyo JPX), Method of producing heterojunction bipolar transistor having narrow band gap base type.
  148. Taylor, Jr., William J.; Orlowski, Marius; Gilmer, David C.; Alluri, Prasad V.; Hobbs, Christopher C.; Rendon, Michael J.; Clejan, Iuval R., Method of recrystallizing an amorphous region of a semiconductor.
  149. Krishna Vepa (St. Charles MO) Wisnieski Michael S. (O\Fallon MO) Illig Lois (Troy MO), Method of rough polishing semiconductor wafers to reduce surface roughness.
  150. Kern Rim, Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation.
  151. Chang Chun-Yen,TWX ; Lei Tan-Fu,TWX ; Lin Hsiao-Yi,TWX ; Cheng Juing-Yi,TWX, Method to fabricate the thin film transistor.
  152. Yang Hong ; Yu Xing,SGX ; Leung Ying Keung,HKX, Method to form CoSi.sub.2 on shallow junction by Si implantation.
  153. Chang Tzong-Sheng,TWX ; Tsai Hung-Chi,TWX ; Tien Bor-Zen,TWX, Method to improve TiSix salicide formation.
  154. Plumton Donald L. (Dallas TX) Morris Francis J. (Plano TX) Yang Jau-Yuann (Richardson TX), Method to integrate HBTs and FETs.
  155. Liu, Kaiping, Method to produce localized halo for MOS transistor.
  156. Song, Won-sang; Park, Jung-woo; Lee, Gil-gwang; Choe, Tae-hee, Methods for fabricating field effect transistors having elevated source/drain regions.
  157. Langdo, Thomas A.; Lochtefeld, Anthony J., Methods of fabricating semiconductor structures having epitaxially grown source and drain elements.
  158. Gehrke Thomas ; Linthicum Kevin J. ; Davis Robert F., Methods of forming a plurality of semiconductor layers using spaced trench arrays.
  159. Zhang, Zhibo; Misra, Veena; Bedair, Salah M. A.; Ozturk, Mehmet, Methods of forming nano-scale electronic and optoelectronic devices using non-photolithographically defined nano-channel templates.
  160. Gunn, III, Lawrence C.; Capellini, Giovanni; Rattier, Maxime Jean; Pinguet, Thierry J., Methods of incorporating germanium within CMOS process.
  161. Dmbkes Heinrich (Ulm DEX) Herzog Hans-J. (Neu-Ulm DEX) Jorke Helmut (Gerstetten DEX), Modulation doped field effect transistor with doped SixGe1-x-intrinsic Si layering.
  162. Maa, Jer-Shen; Tweet, Douglas J.; Hsu, Sheng Teng; Lee, Jong-Jan, Molecular hydrogen implantation method for forming a relaxed silicon germanium layer with high germanium content.
  163. Fattaruso John W., Monolithic inductor with guard rings.
  164. Paton, Eric N.; Xiang, Qi; Besser, Paul R.; Lin, Ming-Ren; Ngo, Minh V.; Wang, Haihong, Mosfets incorporating nickel germanosilicided gate and methods for their formation.
  165. Oda, Katsuya; Washio, Katsuyoshi, Multi-layered, single crystal field effect transistor.
  166. Arimilli, Ravi Kumar; Fields, Jr., James Stephen; Guthrie, Guy Lynn; Joyner, Jody Bern; Lewis, Jerry Don, Multiprocessor system bus protocol with group addresses, responses, and priorities.
  167. Kadosh, Daniel; Wristers, Derick J.; Xiang, Qi; Yu, Bin, Narrow width CMOS devices fabricated on strained lattice semiconductor substrates with maximized NMOS and PMOS drive currents.
  168. Yuhzoh Tsuda JP; Takayuki Yuasa JP, Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device.
  169. Otto Joachim (Unterschleisseheim DEX), Non-volatile memory cell.
  170. Howe Roger T. ; Franke Andrea ; King Tsu-Jae, Polycrystalline silicon germanium films for forming micro-electromechanical systems.
  171. Kim Bumman (Richardson TX) Tserng Hua Q. (Dallas TX), Power MISFET.
  172. Henley Francois J. ; Cheung Nathan W., Pre-semiconductor process implant and post-process film separation.
  173. Canaperi, Donald F.; Chu, Jack Oon; D'Emic, Christopher P.; Huang, Lijuan; Ott, John Albrecht; Wong, Hon-Sum Philip, Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique.
  174. Levine Barry Franklin ; Pinzone Christopher James, Process for bonding crystalline substrates with different crystal lattices.
  175. Pfiester James R. (Austin TX), Process for fabricating a silicon on insulator field effect transistor.
  176. Hartswick Thomas J. (Underhill VT) Kaanta Carter W. (Colchester VT) Lee Pei-Ing P. (Williston VT) Wright Terrance M. (Williston VT), Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit.
  177. Prabhakar Venkatraman, Process for forming silicon on insulator devices having elevated source and drain regions.
  178. Alexander Yuri Usenko, Process for lift-off of a layer from a substrate.
  179. Bensahel Daniel,FRX ; Campidelli Yves,FRX ; Hernandez Caroline,FRX ; Rivoire Maurice,FRX, Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively.
  180. Richard Alden DeFelice ; Judith Prybyla, Process for planarization a semiconductor substrate.
  181. Kondo Shigeki (Hiratsuka JPX) Matsumoto Shigeyuki (Atsugi JPX) Ishizaki Akira (Atsugi JPX) Inoue Shunsuke (Yokohama JPX) Nakamura Yoshio (Atsugi JPX), Process for preparing semiconductor substrate by bonding to a metallic surface.
  182. Cheng, Zhi-Yuan; Fitzgerald, Eugene A.; Antoniadis, Dimitri A.; Hoyt, Judy L., Process for producing semiconductor article using graded epitaxial growth.
  183. Cheng, Zhi-Yuan; Fitzgerald, Eugene A.; Antoniadis, Dimitri A.; Hoyt, Judy L., Process for producing semiconductor article using graded epitaxial growth.
  184. Ruehrwein Robert A. (67 Hilton Ave. Garden City NY 11530), Process for production of III-V compound crystals.
  185. Regolini Jorge,FRX ; Bensahel Daniel,FRX, Process for selectively depositing a refractory metal silicide on silicon, and silicon wafer metallized using this proc.
  186. Bruel Michel (Veurey FRX), Process for the production of thin semiconductor material films.
  187. Ek Bruce A. ; Iyer Subramanian Srikanteswara ; Pitner Philip Michael ; Powell Adrian R. ; Tejwani Manu Jamndas, Production of substrate for tensilely strained semiconductor.
  188. Braithwaite, Glyn; Hammond, Richard; Currie, Matthew, RF circuits including transistors having strained material layers.
  189. Currie,Matthew T.; Hammond,Richard, Reacted conductive gate electrodes.
  190. Wanlass Mark (Golden CO), Reactor design for uniform chemical vapor deposition-grown films without substrate rotation.
  191. Fitzgerald Eugene A. ; Bulsara Mayank T., Relaxed InxGa(1-x)as buffers.
  192. Christiansen, Silke H.; Chu, Jack O.; Grill, Alfred; Mooney, Patricia M., Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing.
  193. Christiansen, Silke H.; Chu, Jack O.; Grill, Alfred; Mooney, Patricia M., Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing.
  194. Fitzergald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  195. Fitzergald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  196. Fitzergald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  197. Fitzergald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  198. Fitzgerald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  199. Fitzgerald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  200. Fitzgerald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  201. Coolbaugh, Douglas Duane; Dupuis, Mark D.; Gallagher, Matthew D.; Geiss, Peter J.; Philips, Brett A., STI pull-down to control SiGe facet growth.
  202. Chan Kevin Kok ; Chu Jack Oon ; Ismail Khalid EzzEldin,EGX ; Rishton Stephen Anthony ; Saenger Katherine Lynn, Scalable MOS field effect transistor.
  203. Shimizu Hitoshi (Yokohama JPX) Hirayama Yoshiyuki (Yokohama JPX) Irikawa Michinori (Yokohama JPX), Schottky junction device having a Schottky junction of a semiconductor and a metal.
  204. Kamins Theodore I. (Palo Alto) Noble David B. (Sunnyvale) Hoyt Judy L. (Palo Alto) Gibbons James F. (Palo Alto) Scott Martin P. (San Francisco CA), Selective and non-selective deposition of Si1-xGex on a Si subsrate that is partially maske.
  205. Liaw Hang M. (Scottsdale AZ) Seelbach Christian A. (Scottsdale AZ), Selective deposition of amorphous and polycrystalline silicon.
  206. Ozturk Mehmet C. (Cary NC) Grider Douglas T. (Pleasanton CA) Sanganeria Mahesh K. (Raleigh NC) Ashburn Stanton P. (Cary NC) Wortman Jimmie J. (Chapel Hill NC), Selective deposition of doped silicon-germanium alloy on semiconductor substrate, and resulting structures.
  207. Ozturk Mehmet C. (Cary NC) Grider Douglas T. (Raleigh NC) Sanganeria Mahesh K. (Raleigh NC) Ashburn Stanton P. (Cary NC), Selective deposition of doped silion-germanium alloy on semiconductor substrate.
  208. Lynch William T. (Summit NJ), Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets.
  209. Lynch William T. (Summit NJ), Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets.
  210. Ozturk Mehmet (Cary NC) Wortman Jimmie (Chapel Hill NC) Grider Douglas (Raleigh NC), Selective germanium deposition on silicon and resulting structures.
  211. Ajmera, Atul Champaklal; Cabral, Jr., Cyril; Carruthers, Roy Arthur; Chan, Kevin Kok; Cohen, Guy Moshe; Kozlowski, Paul Michael; Lavoie, Christian; Newbury, Joseph Scott; Roy, Ronnen Andrew, Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby.
  212. Cyril Cabral, Jr. ; Roy Arthur Carruthers ; Kevin K. Chan ; Guy M. Cohen ; Kathryn Wilder Guarini ; James M. Harper ; Christian Lavoie ; Paul M. Solomon, Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices.
  213. Cabral, Jr., Cyril; Chan, Kevin Kok; Cohen, Guy Moshe; Guarini, Kathryn Wilder; Lavoie, Christian; Roy, Ronnen Andrew; Solomon, Paul Michael, Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby.
  214. Agnello, Paul D.; Chen, Bomy A.; Crowder, Scott W.; Divakaruni, Ramachandra; Iyer, Subramanian S.; Sinitsky, Dennis, Semiconductor chip having both compact memory and high performance logic.
  215. Karl Brunner DE; Karl Eberl DE, Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates.
  216. Kobayashi Setsuko,JPX ; Shinohe Takashi,JPX ; Inoue Tomoki,JPX ; Yahata Akihiro,JPX, Semiconductor device.
  217. Shinichi Takagi JP, Semiconductor device.
  218. Sugiyama, Naoharu; Tezuka, Tsutomu; Mizuno, Tomohisa; Takagi, Shinichi, Semiconductor device.
  219. Yuichiro Mitani JP; Ichiro Mizushima JP; Shigeru Kambayashi JP; Hirotaka Nishino ; Masahiro Kashiwagi JP, Semiconductor device and manufacturing method thereof.
  220. Sugiyama Naoharu,JPX ; Kurobe Atsushi,JPX, Semiconductor device and memory device.
  221. Yuki, Koichiro; Saitoh, Tohru; Kubo, Minoru; Ohnaka, Kiyoshi; Asai, Akira; Katayama, Koji, Semiconductor device and method for fabricating the same.
  222. Kasai Naoki,JPX ; Koga Hiroki,JPX, Semiconductor device and method for manufacturing same.
  223. Naoharu Sugiyama JP; Atsushi Kurobe JP, Semiconductor device and method for manufacturing the same.
  224. Tsutomu Tezuka JP, Semiconductor device and method of manufacturing the same.
  225. Usuda, Koji; Takagi, Shinichi, Semiconductor device and method of manufacturing the same.
  226. Otani Naoko,JPX ; Katayama Toshiharu,JPX, Semiconductor device comprising trench EEPROM.
  227. Murthy Anand ; Jan Chia-Hong ; Andideh Ebrahim ; Weldon Kevin, Semiconductor device having deposited silicon regions and a method of fabrication.
  228. Iwamatsu Toshiaki (Hyogo JPX) Inoue Yasuo (Hyogo JPX) Yamaguchi Yasuo (Hyogo JPX) Nishimura Tadashi (Hyogo JPX), Semiconductor device having metal silicide film.
  229. Iwamatsu Toshiaki,JPX ; Inoue Yasuo,JPX ; Yamaguchi Yasuo,JPX ; Nishimura Tadashi,JPX, Semiconductor device having metal silicide film and manufacturing method thereof.
  230. Wieczorek Karsten,DEX ; Raab Michael,DEX ; Stephan Rolf,DEX, Semiconductor device having metal silicide regions of differing thicknesses above the gate electrode and the source/drain regions, and method of making same.
  231. Cheng, Zhiyuan; Fitzgerald, Eugene A.; Antoniadis, Dimitri A., Semiconductor device structure.
  232. Takuji Matsumoto JP; Toshiaki Iwamatsu JP; Yuuichi Hirano JP, Semiconductor device, method of manufacturing the same and method of arranging dummy region.
  233. Kobayashi Yutaka (Hitachi JPX) Suzuki Takaya (Katsuta JPX), Semiconductor devices and method for making the same.
  234. Fitzgerald ; Jr. Eugene A. (Bridgewater NJ), Semiconductor devices with low dislocation defects.
  235. Brasen Daniel (Lake Hiawatha NJ) Fitzgerald ; Jr. Eugene A. (Bridgewater NJ) Green Martin L. (New Providence NJ) Monroe Donald P. (Berkeley Heights NJ) Silverman Paul J. (Millburn NJ) Xie Ya-Hong (Fl, Semiconductor heterostructure devices with strained semiconductor layers.
  236. Pfiester James R. (Austin TX) Hayden James D. (Austin TX), Semiconductor memory cell.
  237. Kauffmann Bruce A. (Jericho VT) Lam Chung H. (Williston VT) Lasky Jerome B. (Essex Junction VT), Semiconductor memory cell and memory array with inversion layer.
  238. Christenson John C. (Kokomo IN) Schubert Peter J. (Kokomo IN), Semiconductor mushroom structure fabrication.
  239. Nakagawa Kiyokazu (Sayama JPX) Nishida Akio (Misato JPX) Shimada Toshikazu (Kokubunji JPX), Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same.
  240. Cheng, Zhi-Yuan; Fitzgerald, Eugene A.; Antoniadis, Dimitri A.; Hoyt, Judy L., Semiconductor substrate structure.
  241. Murthy, Anand S.; Doyle, Brian S.; Roberds, Brian E., Semiconductor transistor having a backfilled channel material.
  242. Murthy, Anand; Chau, Robert S.; Ghani, Tahir; Mistry, Kaizad R., Semiconductor transistor having a stressed channel.
  243. Murthy, Anand; Chau, Robert S.; Ghani, Tahir; Mistry, Kaizad R., Semiconductor transistor having a stressed channel.
  244. Murthy, Anand; Chau, Robert S.; Ghani, Tahir; Mistry, Kaizad R., Semiconductor transistor having a stressed channel.
  245. Mouli,Chandra, Semiconductor-on-insulator constructions.
  246. Chuang K. J.,TWX ; Lui H. S.,TWX, Separated floating gate for EEPROM application.
  247. Sugiura Yoshihisa,JPX ; Iwata Yoshihisa,JPX ; Watanabe Hiroshi,JPX, Shielded bit line sensing scheme for nonvolatile semiconductor memory.
  248. Kinugawa Masaaki (Tokyo JPX), Short channel CMOS on 110 crystal plane.
  249. Schmitz Jurriaan,NLX ; Woerlee Pierre H.,NLX, Si-Ge CMOS semiconductor device.
  250. Imai Seiji,JPX ; Hiraoka Yoshiko,JPX ; Kurobe Atsushi,JPX ; Sugiyama Naoharu,JPX ; Tezuka Tsutomu,JPX, Si-SiGe semiconductor device and method of fabricating the same.
  251. Ismail Khalid EzzEldin ; Meyerson Bernard S., Si/SiGe vertical junction field effect transistor.
  252. Burghartz Joachim N. (Shrub Oak NY) Meyerson Bernard S. (Yorktown Heights NY) Sun Yuan-Chen (Katonah NY), SiGe thin film or SOI MOSFET and method for making the same.
  253. Soref Richard A. ; Friedman Lionel R., Silicon-based strain-symmetrized GE-SI quantum lasers.
  254. Huang Feng-Yi, Silicon-germanium bicmos on soi.
  255. Robinson McDonald ; Westhoff Richard C. ; Hunt Charles E. ; Ling Li, Silicon-germanium-carbon compositions in selective etch processes.
  256. Ismail Khalid EzzEldin ; Meyerson Bernard S., Single-transistor logic and CMOS inverters.
  257. Bhattacharyya, Arup, Stable PD-SOI devices and methods.
  258. Chu Jack Oon ; Ismail Khalid EzzEldin, Strained Si/SiGe layers on insulator.
  259. Chu Jack Oon ; Ismail Khalid EzzEldin, Strained Si/SiGe layers on insulator.
  260. Wang, Haihong; Xiang, Qi, Strained silicon MOSFET having silicon source/drain regions and method for its fabrication.
  261. Djomehri, Ihsan J.; Xiang, Qi; Goo, Jung-Suk; Pan, James N., Strained silicon MOSFETs having NMOS gates with work functions for compensating NMOS threshold voltage shift.
  262. Xiang, Qi; Paton, Eric N.; Wang, Haihong, Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication.
  263. Rim, Kern, Strained silicon on insulator structures.
  264. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Strained-channel multiple-gate transistor.
  265. Langdo,Thomas A.; Currie,Matthew T.; Hammond,Richard; Lochtefeld,Anthony J.; Fitzgerald,Eugene A., Strained-semiconductor-on-insulator device structures.
  266. Lee, Jong-Jan; Hsu, Sheng Teng, Strained-silicon channel CMOS with sacrificial shallow trench isolation oxide liner.
  267. Yasutoshi Okuno ; Scott R. Summerfelt, Structure and method for a large-permittivity gate using a germanium layer.
  268. Kenji Orita JP; Masahiro Isida JP; Shinji Nakamura JP; Masaaki Yuri JP; Nobuyuki Uemura JP, Substrate containing compound semiconductor, method for manufacturing the same and semiconductor device using the same.
  269. Ek Bruce A. (Pelham Manor NY) Iyer Subramanian S. (Yorktown Heights NY) Pitner Philip M. (Wappingers Falls NY) Powell Adrian R. (New Milford CT) Tejwani Manu J. (Yorktown Heights NY), Substrate for tensilely strained semiconductor.
  270. Aulicino Anthony M.,CAX ; Lyn Robert J.,CAX, Substrate-holding fixture of non-wettable material.
  271. Roberds, Brian; Doyle, Brian S., Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel.
  272. Doyle Brian S. ; Roberds Brian ; Lee Jin, Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering.
  273. Ramaswami Ravi ; Joseph Victor ; Cao Min ; Kamins Theodore I. ; Whitlock John P. ; Prem Anil, Thermal inkjet printhead and high-efficiency polycrystalline silicon resistor system for use therein.
  274. Bertin Claude L. (South Burlington VT) DiMaria Donelli J. (Ossining NY) Miyakawa Makoto (Tokyo JPX) Sakaue Yoshinori (Tokyo JPX), Three-dimensional direct-write EEPROM arrays and fabrication methods.
  275. Jung Ho Lee KR, Transistor in a semiconductor device and method of manufacturing the same.
  276. Murakami Eiichi (Fuchu JPX) Nakagawa Kiyokazu (Sayama JPX) Ohshima Takashi (Fuchu JPX) Eto Hiroyuki (Kokubunji JPX) Miyao Masanobu (Tokorozawa JPX), Transistor provided with strained germanium layer.
  277. Chau Robert S. ; Chern Chan-Hong ; Jan Chia-Hong ; Weldon Kevin R. ; Packan Paul A. ; Yau Leopoldo D., Transistor with ultra shallow tip and method of fabrication.
  278. Chau Robert S. ; Chern Chan-Hong ; Jan Chia-Hong ; Weldon Kevin R. ; Packan Paul A. ; Yau Leopoldo D., Transistor with ultra shallow tip and method of fabrication.
  279. Lin Chih-Hung,TWX ; Lee Robin,TWX, Trench flash memory with nitride spacers for electron trapping.
  280. Mohammad S. Noor (Hopewell Junction NY), Triple heterojunction bipolar transistor.
  281. Bartelink Dirk J., Vertical CMOS digital multi-valued restoring logic device.
  282. Augusto Carlos Jorge Ramiro Proenca,BEX, Vertical MISFET devices.
  283. Favors ; Jr. Wesley ; MacDonald Eric William ; Mukherjee Subir ; Warriner Lynn Albert, Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS.
  284. Terumoto Akatsuka JP; Keisuke Utsunomiya JP, Voltage controlled oscillator with power amplifier.
  285. Fransis Bert L. ; O'Connor John Francis, Wideband, variable gain amplifier.

이 특허를 인용한 특허 (7)

  1. Lochtefeld, Anthony J.; Langdo, Thomas A.; Hammond, Richard; Currie, Matthew T.; Fitzgerald, Eugene A., Methods for forming semiconductor device structures.
  2. Chidambarrao, Dureseti; Muralidhar, Ramachandran; Oldiges, Philip J.; Ontalus, Viorel, Minimizing leakage current and junction capacitance in CMOS transistors by utilizing dielectric spacers.
  3. Chidambarrao, Dureseti; Muralidhar, Ramachandran; Oldiges, Philip J; Ontalus, Viorel, Minimizing leakage current and junction capacitance in CMOS transistors by utilizing dielectric spacers.
  4. Wang, Lingquan; Bakhishev, Teymur; Zhao, Dalong; Ranade, Pushkar; Pradhan, Sameer; Hoffmann, Thomas; Shifren, Lucian; Scudder, Lance, Semiconductor structure with reduced junction leakage and method of fabrication thereof.
  5. Wang, Lingquan; Bakhishev, Teymur; Zhao, Dalong; Ranade, Pushkar; Pradhan, Sameer; Hoffmann, Thomas; Shifren, Lucian; Scudder, Lance, Semiconductor structure with reduced junction leakage and method of fabrication thereof.
  6. Adam, Thomas N.; Bedell, Stephen W.; Dube, Abhishek; Harley, Eric C. T.; Holt, Judson R.; Reznicek, Alexander; Sadana, Devendra K.; Schepis, Dominic J.; Stoker, Matthew W.; Tabakman, Keith H., Stressed transistor with improved metastability.
  7. Pei, Chengwen; Johnson, Jeffrey B.; Li, Zhengwen; Yu, Jian, Trench silicide contact with low interface resistance.

문의처: helpdesk@kisti.re.kr전화: 080-969-4114

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로