$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Atomic layer deposition and conversion 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-029/00
출원번호 UP-0929272 (2004-08-30)
등록번호 US-7560793 (2009-07-27)
발명자 / 주소
  • Derderian, Garo J.
  • Sandhu, Gurtej Singh
출원인 / 주소
  • Micron Technology, Inc.
대리인 / 주소
    Schwegman, Lundberg & Woessner, P.A.
인용정보 피인용 횟수 : 18  인용 특허 : 689

초록

A method for growing films for use in integrated circuits using atomic layer deposition and a subsequent converting step is described. In an embodiment, the subsequent converting step includes oxidizing a metal atomic layer to form a metal oxide layer. The atomic layer deposition and oxidation step

대표청구항

What is claimed is: 1. A semiconductor device, comprising: a substrate; and an atomic layer deposition first layer deposited on the substrate, wherein the first layer is deposited by sequentially pulsing a precursor gas and a reactant into a reaction chamber, and wherein the precursor gas and react

이 특허에 인용된 특허 (689)

  1. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., 4 F2 folded bit line DRAM cell structure having buried bit and word lines.
  2. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, 4 F2 folded bit line dram cell structure having buried bit and word lines.
  3. Burns ; Jr. Stuart Mcallister ; Hanafi Hussein Ibrahim ; Welser Jeffrey J. ; Kocon Waldemar Walter, 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation.
  4. Gurtej Sandhu ; Garo J. Derderian, ALD method to improve surface coverage.
  5. Sandhu, Gurtej; Derderian, Garo J., ALD method to improve surface coverage.
  6. Ahn,Kie Y.; Forbes,Leonard, ALD of amorphous lanthanide doped TiOfilms.
  7. Seabury Charles W. (Agoura CA) Kobrin Paul H. (Newbury Park CA) DeNatale Jeffrey F. (Thousand Oaks CA), Acoustic isolator having a high impedance layer of hafnium oxide.
  8. Flagan, Richard C.; Atwater, Harry A.; Ostraat, Michele L., Aerosol process for fabricating discontinuous floating gate microelectronic devices.
  9. Flagan, Richard C.; Boer, Elizabeth; Ostraat, Michele L.; Atwater, Harry A.; Bell, II, Lloyd D., Aerosol silicon nanoparticles for use in semiconductor device fabrication.
  10. Schulz Robert (Ste-Julie CAX) Van Neste Andre (Ste-Foy CAX) Boily Sabin (Montreal CAX) Jin Shize (Ste-Foy CAX), Alloys of Ti Ru Fe and O and use thereof for the manufacture of cathodes for the electrochemical synthesis of sodium chl.
  11. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices.
  12. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices using textured surface.
  13. Vaartstra, Brian A., Aluminum-containing material and atomic layer deposition methods.
  14. Ma Yanjun ; Ono Yoshi, Aluminum-doped zirconium dielectric film transistor structure and deposition method for same.
  15. Visokay, Mark R.; Colombo, Luigi; Rotondaro, Antonio L. P., Anneal sequence for high-κ film property optimization.
  16. Matijasevic, Vladimir; Kaplan, Todd, Apparatus and method for deposition of thin films.
  17. Blank Richard E. (Roanoke VA) Harris James W. (Roanoke VA) Amith Avraham (Roanoke VA), Apparatus and method for heat cleaning semiconductor material.
  18. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  19. Sneh, Ofer; Seidel, Thomas E.; Galewski, Carl, Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition.
  20. Sandhu Gurtej S. ; Iyer Ravi ; Sharan Sujit, Apparatus and method to increase gas residence time in a reactor.
  21. Philip H. Campbell ; David J. Kubista, Apparatus and process of improving atomic layer deposition chamber performance.
  22. Gadgil, Prasad Narhar, Apparatus for atomic layer chemical vapor deposition.
  23. Dutta Arunava (Danvers) Dullea Leonard V. (Peabody) Dale Ernest A. (Hamilton MA), Apparatus for coating small solids.
  24. Deguchi Mikio (Itami JPX), Apparatus for producing semiconductor devices.
  25. Bhattacharyya, Arup, Asymmetric band-gap engineered nonvolatile memory device.
  26. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed.
  27. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited Zr-Sn-Ti-O films.
  28. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited Zr-Sn-Ti-O films using TiI4.
  29. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrAlOdielectric layers including ZrAlO.
  30. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrTiOfilms.
  31. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  32. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited lanthanide doped TiOx dielectric films.
  33. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited nanolaminates of HfO/ZrOfilms as gate dielectrics.
  34. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics.
  35. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited zirconium silicon oxide films.
  36. Akram, Salman; Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection.
  37. Paranjpe,Ajit P.; Gopinath,Sanjay; Omstead,Thomas R.; Bubber,Randhir S.; Mao,Ming, Atomic layer deposition for fabricating thin films.
  38. Sandhu, Gurtej S., Atomic layer deposition method with point of use generated reactive gas species.
  39. Marsh, Eugene; Vaartstra, Brian; Castrovillo, Paul J.; Basceri, Cem; Derderian, Garo J.; Sandhu, Gurtej S., Atomic layer deposition methods.
  40. Zheng, Lingyi A.; Ping, Er-Xuan; Breiner, Lyle; Doan, Trung T., Atomic layer deposition of capacitor dielectric.
  41. Jang,Chuck; Dong,Zhong; Chan,Vei Han; Chen,Ching Hwa, Atomic layer deposition of interpoly oxides in a non-volatile memory device.
  42. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  43. Sandhu, Gurtej; Doan, Trung T., Atomic layer doping apparatus and method.
  44. Sandhu, Gurtej; Doan, Trung T., Atomic layer doping apparatus and method.
  45. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  46. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  47. Brenda D. Kraus ; John T. Moore ; Scott J. DeBoer, Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  48. Kraus Brenda D. ; Moore John T. ; DeBoer Scott J., Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  49. Roberts Ceredig (Boise ID), BiCMOS process and process for forming bipolar transistors on wafers also containing FETs.
  50. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  51. Visokay, Mark Robert; Rotondaro, Antonio Luis Pacheco; Colombo, Luigi, Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing.
  52. Sarangapani Shantha ; Calvert Paul D., Biomimetic calcium phosphate implant coatings and methods for making the same.
  53. Hong-Sik Jeong KR; Won-Suk Yang KR; Ki-Nam Kim KR, Bit line landing pad and borderless contact on bit line stud with etch stop layer and manufacturing method thereof.
  54. Yang, Won-Suk; Hwang, Yoo-Sang; Jeong, Hong-Sik; Kim, Ki-Nam, Bit line landing pad and borderless contact on bit line stud with localized etch stop layer and manufacturing method thereof.
  55. Yang, Won-Suk; Song, Sang-Ho; Jeong, Hong-Sik; Kim, Ki-Nam, Bit line pad and borderless contact on bit line stud with localized etch stop layer formed in an undermined region.
  56. Al-Shareef Husam N. ; DeBoer Scott J. ; Gealy Dan ; Thakur Randhir P. S., Boride electrodes and barriers for cell dielectrics.
  57. Al-Shareef Husam N. ; DeBoer Scott J. ; Gealy Dan ; Thakur Randhir P. S., Boride electrodes and barriers for cell dielectrics.
  58. Al-Shareef, Husam N.; DeBoer, Scott J.; Gealy, Dan; Thakur, Randhir P. S., Boride electrodes and barriers for cell dielectrics.
  59. Shinohara Toshiro (Yokosuka JPX) Mihara Teruyoshi (Yokosuka JPX) Yao Kenji (Yokosuka JPX), CMOS having buried layer for carrier recombination.
  60. Maiti Bikas ; Tobin Philip J. ; Mogab C. Joseph ; Hobbs Christopher ; Frisa Larry E.,DEX, CMOS semiconductor devices and method of formation.
  61. Sun Shi-Chung, CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET.
  62. Takeshi Kaizuka JP; Takashi Horiuchi JP; Masami Mizukami JP; Takashi Mochizuki JP; Yumiko Kawano JP; Hideaki Yamasaki JP, CVD apparatus and CVD method.
  63. Campbell, Philip H.; Carpenter, Craig M.; Dando, Ross S.; Hamer, Kevin T., CVD apparatuses and methods of forming a layer over a semiconductor substrate.
  64. Banerjee, Aditi; Wise, Rick L.; Crenshaw, Darius L., Capacitor and memory structure and method.
  65. Eldridge, Jerome M., Capacitor dielectric having perovskite-type crystalline structure.
  66. Garo J. Derderian ; Gurtej S. Sandhu, Capacitor fabrication methods and capacitor constructions.
  67. Hoffarth Joseph Gerard ; Lauffer John Matthew ; Mahmoud ; deceased Issa Said, Capacitor formed within printed circuit board.
  68. DeBoer, Scott Jeffrey; Al-Shareef, Husam N.; Thakur, Randhir P. S.; Gealy, Dan, Capacitor having tantalum oxynitride film and method for making same.
  69. Scott Jeffrey DeBoer ; Husam N. Al-Shareef ; Randhir P. S. Thakur ; Dan Gealy, Capacitor having tantalum oxynitride film and method for making same.
  70. Sang-don Nam KR; Jin-won Kim KR, Capacitor of semiconductor device.
  71. Ahn, Kie Y.; Forbes, Leonard, Capacitor structure forming methods.
  72. Husam N. Al-Shareef ; Scott Jeffrey DeBoer ; F. Daniel Gealy ; Randhir P. S. Thakur, Capacitor with conductively doped Si-Ge alloy electrode.
  73. Al-Shareef Husam N. ; DeBoer Scott Jeffrey ; Gealy F. Daniel ; Thakur Randhir P. S., Capacitors, methods of forming capacitors, and DRAM memory cells.
  74. Forbes, Leonard; Ahn, Kie Y., Carburized silicon gate insulators for integrated circuits.
  75. Champeau Eugene J., Catheter with thin film electrodes and method for making same.
  76. Ebbinghaus Bartley B. ; Van Konynenburg Richard A. ; Vance Eric R.,AUX ; Stewart Martin W.,AUX ; Jostsons Adam,AUX ; Allender Jeffrey S. ; Rankin David Thomas, Ceramic composition for immobilization of actinides.
  77. Lin Wen-Yi ; Speyer Robert F. ; Shrout Tom R. ; Hackenberger Wesley S., Ceramic compositions for microwave wireless communication.
  78. Mardian, Allen P.; Sandhu, Gurtej S., Chemical vapor deposition methods, and atomic layer deposition method.
  79. Wilk, Glen D., Chemical vapor deposition of silicate high dielectric constant materials.
  80. Anand Srinivasan ; Sujit Sharon ; Raj Narasimhan, Chemical vapor deposition process.
  81. Mahawili Imad (Sunnyvale CA), Chemical vapor deposition reactor and method of operation.
  82. Vaartstra, Brian A., Chemical vapor deposition systems including metal complexes with chelating O- and/or N-donor ligands.
  83. Sandhu Gurtej S. ; Fazan Pierre, Chemical vapor deposition using organometallic precursors.
  84. Noble Wendell P. ; Forbes Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  85. Noble, Wendell P.; Forbes, Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  86. Forbes Leonard ; Noble Wendell P., Circuit and method for an open bit line memory cell with a vertical transistor and trench plate trench capacitor.
  87. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  88. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  89. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  90. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  91. Forbes Leonard ; Geusic Joseph E. ; Ahn Kie Y., Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same.
  92. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Coaxial integrated circuitry interconnect lines, and integrated circuitry.
  93. Deevi, Seetharama C., Coking and carburization resistant iron aluminides for hydrocarbon cracking.
  94. van den Berg Hendrikus (Venlo-Blerick NLX) Tabersky Ralf (Bottrop DEX) Knig Udo (Essen DEX) Reiter Norbert (Mettmann AUX), Composite body, its use and a process for its production.
  95. Ahn, Kie Y.; Forbes, Leonard, Composite dielectric forming methods and composite dielectrics.
  96. Cogliano Joseph A. (Pasadena MD), Composition and method of controlling solid polyurethane particle size with water reactant.
  97. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Rochester NY), Composition containing a hafnia phosphor of enhanced luminescence.
  98. Srividya, Cancheepuram V.; Gealy, F. Daniel; Graettinger, Thomas M., Comprising agglomerates of one or more noble metals.
  99. Basceri, Cem; Sandhu, Gurtej, Conductive semiconductor structures containing metal oxide regions.
  100. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  101. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  102. Chen, Tung-Yu; Lai, Han-Chung, Contact structure and manufacturing method thereof.
  103. Henley Francois J. ; Cheung Nathan W., Controlled cleavage process and device for patterned films using a release layer.
  104. Bunshah Rointan F. (Playa del Rey CA) Deshpandey Chandra V. (Los Angeles CA) Doerr Hans J. (Westlake Village CA) Yoon Jong S. (Northridge CA), Controlled high rate deposition of metal oxide films.
  105. Subramanian, Ramesh; Keyser, Mercedes, Cooling structure and method of manufacturing the same.
  106. Ahn, Kie Y.; Forbes, Leonard, Copper technology for ULSI metallization.
  107. Wong Manus K. (San Jose CA) Chew Sandy M. (San Jose CA), Corrosion-resistant apparatus.
  108. Wood Louis L. (Rockville MD) Frisch Kurt C. (Grosse Ile MI), Crosslinked hydrophilic foams and method.
  109. Forbes Leonard ; Ahn Kie Y., Current mode signal interconnects and CMOS amplifier.
  110. Chatterjee Pallab K. (Richardson TX) Malhi Satwinder (Garland TX) Richardson William F. (Richardson TX), DRAM Cell with trench capacitor and vertical channel in substrate.
  111. Forbes, Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  112. Derderian, Garo J.; Sandhu, Gurtej S., Deposition methods.
  113. Moon Jong (Suwon KRX), Device and manufacturing method for a ferroelectric memory.
  114. Moon Jong,KRX, Device and manufacturing method for a ferroelectric memory.
  115. Kiyoshi Nikawa JP, Device and method for nondestructive inspection on semiconductor device.
  116. Jeng Guang-kai David (North Plainfield NJ) Mitchell James Winfield (Somerset NJ), Devices comprising films of b3N4 .
  117. Marsh, Eugene P., Devices containing zirconium-platinum-containing materials and methods for preparing such materials and devices.
  118. Anthony Thomas C. (Sunnyvale CA), Dielectric films for use in magnetoresistive transducers.
  119. Marsh,Eugene P., Dielectric material forming methods.
  120. Schneemeyer Lynn Frances ; van Dover Robert Bruce, Dielectric materials of amorphous compositions and devices employing same.
  121. VanDover Robert Bruce, Dielectric materials of amorphous compositions of TI-O.sub.2 doped with rare earth elements and devices employing same.
  122. Leonard Forbes ; Wendell P. Noble ; Kie Y. Ahn, Discrete devices including EAPROM transistor and NVRAM memory cell with edge defined ferroelectric capacitance, methods for operating same, and apparatuses including same.
  123. Chuman, Takashi; Yoshikawa, Takamasa; Hata, Takuya; Sakemura, Kazuto; Yamada, Takashi; Negishi, Nobuyasu; Iwasaki, Shingo; Satoh, Hideo; Yoshizawa, Atsushi; Ogasawara, Kiyohide, Display device of flat panel structure with emission devices of matrix array.
  124. Bhargava Rameshwar N. (5 Morningside Ct. Ossining NY 10562), Displays comprising doped nanocrystal phosphors.
  125. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  126. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  127. Ma Yanjun ; Ono Yoshi, Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same.
  128. Teng Clarence W. (Plano TX) Chen Cheng-Eng D. (Richardson TX) Mao Bor-Yen (Richardson TX), Dram cell and method.
  129. Gotou Hiroshi (Niiza JPX), Dram cell formed on an insulating layer having a buried semiconductor pillar structure and a manufacturing method thereo.
  130. Nakamura Masayuki (Akishima JPX) Kawahara Takayuki (Hachiouji JPX) Kajigaya Kazuhiko (Iruma JPX) Oshima Kazuyoshi (Ohme JPX) Takahashi Tsugio (Ohme JPX) Otori Hiroshi (Ohme JPX) Matsumoto Tetsuro (Hi, Dynamic RAM and information processing system using the same.
  131. Fally Jacques,FRX, Dynamic distance and position sensor and method of measuring the distance and the position of a surface using a sensor.
  132. Forbes Leonard ; Ahn Kie Y. ; Noble Wendell P. ; Reinberg Alan R., Dynamic random access memory (DRAM) cells with repressed ferroelectric memory methods of reading same, and apparatuses including same.
  133. Gonzales Fernando (Boise ID), Dynamic random access memory array having a cross-point layout, tungsten digit lines buried in the substrate, and vertic.
  134. Kim Jong S. (Sungnam KRX) Yoon Hee-Koo (Seoul KRX) Choi Chung G. (Kyoungki-Do KRX), Dynamic random access memory having a vertical transistor.
  135. Yamamoto Tadashi (Kawasaki JPX) Sawada Shizuo (Yokohama JPX), Dynamic random access memory having bit lines buried in semiconductor substrate.
  136. Graettinger, Thomas M.; Gealy, F. Daniel, Electrical contact for high dielectric constant capacitors and method for fabricating the same.
  137. Thomas M. Graettinger ; F. Daniel Gealy, Electrical contact for high dielectric constant capacitors and method for fabricating the same.
  138. Kock Wulf (Markdorf DEX), Electrically conductive ceramic material.
  139. Sung-Mu Hsu (I-Lan TWX), Electrically programmable memory device with improved dual floating gates.
  140. Sung-Mu Hsu (I-Lan TWX), Electrically programmable memory device with improved dual floating gates.
  141. Sharma Umesh (Austin TX) Kawasaki Hisao (Austin TX), Electrically programmable read-only memory cell.
  142. Buynoski Matthew S. ; Besser Paul R. ; Xang Qi ; King Paul L. ; Paton Eric N., Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  143. Kazuto Sakemura JP; Shuuichi Yanagisawa JP; Shingo Iwasaki JP; Nobuyasu Negishi JP; Takashi Chuman JP; Takashi Yamada JP; Atsushi Yoshizawa JP; Hideo Satoh JP; Takamasa Yoshikawa JP; Kiyohid, Electron emission device and display apparatus using the same.
  144. Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX, Electron emission device and display device for emitting electrons in response to an applied electric field using the e.
  145. Chuman Takashi,JPX ; Iwasaki Shingo,JPX, Electron emission device and display device using the same.
  146. Ito Hiroshi,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  147. Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Ito Hiroshi,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  148. Negishi Nobuyasu,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Ogasawara Kiyohide,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  149. Takashi Yamada JP; Kiyohide Ogasawara JP; Takamasa Yoshikawa JP; Takashi Chuman JP; Nobuyasu Negishi JP; Shingo Iwasaki JP; Hiroshi Ito JP; Atsushi Yoshizawa JP; Shuuichi Yanagisawa JP; Kazu, Electron emission device and display device using the same.
  150. Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  151. Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  152. Yoshikawa Takamasa,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX ; Yamaguchi Masataka,JPX ; Iwasaki Shingo,JPX ; Negishi Nobuyasu,JPX ; Chuman Takashi,JPX, Electron emission device and display device using the same.
  153. Yoshizawa Atsushi,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  154. Negishi Nobuyasu,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Ogasawara Kiyohide,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX, Electron emission device and display using the same.
  155. Yoshikawa Takamasa,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display using the same.
  156. Nobuyasu Negishi JP; Takuya Hata JP; Atsushi Yoshizawa JP; Hideo Satoh JP; Takashi Yamada JP; Takashi Chuman JP; Shingo Iwasaki JP; Takamasa Yoshikawa JP; Hiroshi Ito JP; Kiyohide Ogasawara , Electron emission device with electron supply layer having reduced resistance.
  157. Negishi Nobuyasu,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device with electron supply layer of hydrogenated amorphous silicon.
  158. Yamada Takashi,JPX ; Yoshizawa Atsushi,JPX ; Hata Takuya,JPX ; Iwasaki Shingo,JPX ; Negishi Nobuyasu,JPX ; Chuman Takashi,JPX ; Satoh Hideo,JPX ; Ito Hiroshi,JPX ; Yoshikawa Takamasa,JPX ; Ogasawara , Electron emission device with specific island-like regions.
  159. Atsushi Yoshizawa JP; Hideo Satoh JP; Takashi Yamada JP; Takashi Chuman JP; Nobuyasu Negishi JP; Shingo Iwasaki JP; Takuya Hata JP; Takamasa Yoshikawa JP; Hiroshi Ito JP; Kiyohide Ogasawara , Electron emission light-emitting device and display apparatus using the same.
  160. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  161. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  162. Kashihara Keiichiro (Hyogo JPX) Okudaira Tomonori (Hyogo JPX) Itoh Hiromi (Hyogo JPX), Electronic device using zirconate titanate and barium titanate ferroelectrics in insulating layer.
  163. Bailey John M. (Dunlap IL) Towe Carey A. (Peoria IL) Shafer Scott F. (Peoria IL) Blanco Michael M. (Peoria IL), Encapsulated heating filament for glow plug.
  164. Suzuki Kazuaki (Kawasaki JPX), Energy amount control device.
  165. Bojarczuk, Jr., Nestor A.; Cartier, Eduard A.; Guha, Supratik, Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique.
  166. Matthew S. Buynoski ; Paul R. Besser ; Paul L. King ; Eric N. Paton ; Qi Xiang, Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  167. Ahn, Kiey Y.; Forbes, Leonard, Evaporated LaA1O3 films for gate dielectrics.
  168. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-K dielectrics.
  169. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-k dielectrics.
  170. Er-Xuan Ping, Even nucleation between silicon and oxide surfaces for thin silicon nitride film growth.
  171. Cleary Thomas J. ; Wing James C., Exclusion of polymer film from semiconductor wafer edge and backside during film (CVD) deposition.
  172. Scobey Michael A. ; Zhang Xinxiong, External cavity semiconductor laser with monolithic prism assembly.
  173. Tai-Ju Chen TW; Hua-Chou Tseng TW, Fabrication of a shallow trench isolation by plasma oxidation.
  174. Choate William Clay (Dallas TX), Fault-tolerant cell addressable array.
  175. Ahn, Kie Y.; Forbes, Leonard, Field emission display having porous silicon dioxide layer.
  176. Ahn, Kie Y.; Forbes, Leonard, Field emission display having reduced power requirements and method.
  177. Noble Wendell P. ; Forbes Leonard, Field programmable logic arrays with vertical transistors.
  178. Noble, Wendell P.; Forbes, Leonard, Field programmable logic arrays with vertical transistors.
  179. Wendell P. Noble ; Leonard Forbes, Field programmable logic arrays with vertical transistors.
  180. Sandhu, Gurtej; Derderian, Garo J., Film composition.
  181. Forbes,Leonard; Eldridge,Jerome M., Flash memory with low tunnel barrier interpoly insulators.
  182. Yanagisawa Shuuchi,JPX ; Yoshikawa Takamasa,JPX ; Sakemura Kazuto,JPX ; Yoshizawa Atsushi,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Yamada Takashi,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ;, Flat panel display apparatus with an array of electron emitting devices.
  183. Chuman, Takashi; Yoshikawa, Takamasa; Hata, Takuya; Sakemura, Kazuto; Yamada, Takashi; Negishi, Nobuyasu; Iwasaki, Shingo; Satoh, Hideo; Yoshizawa, Atsushi; Ogasawara, Kiyohide, Flat panel display device utilizing electron emission devices.
  184. Andricacos Panayotis Constantinou ; Datta Madhav ; Deligianni Hariklia ; Horkans Wilma Jean ; Kang Sung Kwon ; Kwietniak Keith Thomas ; Mathad Gangadhara Swami ; Purushothaman Sampath ; Shi Leathen ;, Flip-Chip interconnections using lead-free solders.
  185. Faraone Lorenzo (Belle Mead NJ), Floating gate memory device with facing asperities on floating and control gates.
  186. Srinivasan Anand, Flowable germanium doped silicate glass for use as a spacer oxide.
  187. Sobczak Zbigniew P. (Colorado Springs CO), Formation and planarization of silicon-on-insulator structures.
  188. Ahn, Kie Y.; Forbes, Leonard, Formation of metal oxide gate dielectric.
  189. Kie Y. Ahn ; Leonard Forbes, Formation of metal oxide gate dielectric.
  190. Andrew T. Hunt ; Wen-Yi Lin ; Tzyy Jiuan Hwang ; Michelle Hendrick ; Helmut G. Hornis, Formation of thin film capacitors.
  191. Hunt Andrew T. ; Hwang Tzyy Jiuan ; Hornis Helmut G. ; Lin Wen-Yi, Formation of thin film capacitors.
  192. Hunt, Andrew T.; Hwang, Tzyy Jiuan; Hornis, Helmut G.; Lin, Wen-Yi, Formation of thin film capacitors.
  193. Hunt Andrew T. ; Flanagan John S. ; Neuman George A., Formation of this film capacitors.
  194. Brask,Justin K.; Kavalieros,Jack; Doczy,Mark L.; Metz,Matthew V.; Datta,Suman; Shah,Uday; Dewey,Gilbert; Chau,Robert S., Forming high-k dielectric layers on smooth substrates.
  195. Dennison Charles H. (Boise ID) Manning Monte (Kuna ID), Fully planarized thin film transistor (TFT) and process to fabricate same.
  196. Seung Yoon Yang KR; In Jae Park KR; Jong Woo Yoon KR; Chang Jae Kim KR; Tanigawa Eiki JP, Gas injection system for chemical vapor deposition device.
  197. Rigby Leslie J. (Bishops Stortford GB2), Gas sensor.
  198. Ahn, Kie Y.; Forbes, Leonard, Gate oxides, and methods of forming.
  199. Ayako Shindo JP, Glass ceramic and temperature compensating member.
  200. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Graded thin films.
  201. Lee Shuit-Tong,HKX ; Wang Ning,HKX ; Lee Chun-Sing,HKX ; Bello Igor,HKX, Growth method for silicon nanowires and nanoparticle chains from silicon monoxide.
  202. Wilk, Glen D.; Wallace, Robert M., Hafnium nitride gate dielectric.
  203. Paul M. Whitcher ; Robert P. Wierzbicki ; Maurice Valois ; David R. Cultice, Handheld computer system.
  204. Towe Carey A. (Peoria IL) Bailey John M. (Dunlap IL) Shafer Scott F. (Peoria IL) Blanco Michael (Peoria IL), Heating element assembly for glow plug.
  205. Kaushik, Vidya S.; Nguyen, Bich-yen; Pietambaram, Srinivas V.; Schaeffer, III, James Kenyon, High K dielectric film.
  206. Nguyen, Bich-Yen; Zhou, Hong-Wei; Wang, Xiao-Ping, High K dielectric film.
  207. Vu Duy-Phach ; Dingle Brenda ; Cheong Ngwe, High density electronic circuit modules.
  208. Forbes Leonard ; Noble Wendell P., High density flash memory.
  209. Minghwei Hong ; Ahmet Refik Kortan ; Jueinai Raynien Kwo ; Joseph Petrus Mannaerts, High dielectric constant gate oxides for silicon-based devices.
  210. Parsons, Gregory N.; Chambers, James J.; Kelly, M. Jason, High dielectric constant metal silicates formed by controlled metal-surface reactions.
  211. Thomas Michael E. (Cupertino CA) Chinn Jeffrey D. (Foster City CA), High performance interconnect system for an integrated circuit.
  212. Forbes, Leonard; Ahn, Kie Y., High performance silicon contact for flip chip.
  213. Sandhu Gurtej S. (Boise ID) Fazan Pierre (Boise ID), High performance thin film transistor (TFT) by solid phase epitaxial regrowth.
  214. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability thin films and patterned thin films to reduce noise in high speed interconnections.
  215. Thomas Michael E. (Cupertino CA), High temperature interconnect system for an integrated circuit.
  216. Colombo, Luigi; Chambers, James J.; Rotondaro, Antonio L. P.; Visokay, Mark R., High temperature interface layer growth for high-k gate dielectric.
  217. Rufin Antonio C. (Seattle WA) Westre Willard N. (Bellevue WA), High temperature low thermal expansion fastener.
  218. Hsieh Chang-Ming (Fishkill NY) Hsu Louis L. C. (Fishkill NY) Ogura Seiki (Hopewell Junction NY), High-density DRAM structure on soi.
  219. Jacobson, Joseph M.; Hubert, Brian N.; Ridley, Brent, High-density mechanical memory and turing machine.
  220. Ahn, Kie Y.; Forbes, Leonard, High-quality praseodymium gate dielectrics.
  221. Ahn, Kie Y.; Forbes, Leonard, Highly reliable amorphous high-k gate dielectric ZrOXNY.
  222. Ahn, Kie Y.; Forbes, Leonard, Highly reliable gate oxide and method of fabrication.
  223. Subramanian, Ramesh, Honeycomb structure thermal barrier coating.
  224. Lee Seaung Suk,KRX ; Kim Ho Gi,KRX ; Kim Jong Choul,KRX ; Choi Soo Han,KRX, Hot-wall CVD method for forming a ferroelectric film.
  225. Chhabra Navjot (Boise ID) Gibbons Loyal (Boise ID), Hydrofluoric acid etcher and cascade rinser.
  226. Yoshikawa, Takamasa; Satoh, Hideo; Yoshizawa, Atsushi; Yamada, Takashi; Chuman, Takashi; Negishi, Nobuyasu; Iwasaki, Shingo; Sakemura, Kazuto; Hata, Takuya; Ogasawara, Kiyohide, Image pickup device including electron-emitting devices.
  227. Leonard Forbes, In-service programmable logic arrays with ultra thin vertical body transistors.
  228. Forbes Leonard ; Geusic Joseph E., Information handling system having improved floating gate tunneling devices.
  229. Sarigiannis, Demetrius; Meng, Shuang; Derderian, Garo J., Insitu post atomic layer deposition destruction of active species.
  230. Lee Ruojia (Boise ID) Gonzalez Fernando (Boise ID), Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance.
  231. Howard E. Rhodes ; Mark Visokay ; Tom Graettinger ; Dan Gealy ; Gurtej Sandhu ; Cem Basceri ; Steve Cummings, Integrated capacitors fabricated with conductive metal oxides.
  232. Rhodes, Howard E.; Visokay, Mark; Graettinger, Tom; Gealy, Dan; Sandhu, Gurtej; Basceri, Cem; Cummings, Steve, Integrated capacitors fabricated with conductive metal oxides.
  233. Moise Theodore S. ; Xing Guoqiang ; Visokay Mark ; Gaynor Justin F. ; Gilbert Stephen R. ; Celii Francis ; Summerfelt Scott R. ; Colombo Luigi, Integrated circuit and method.
  234. Tsu Robert ; Asano Isamu,JPX ; Iijima Shinpei,JPX ; McKee William R., Integrated circuit capacitor.
  235. Mathew, Leo; Muralidhar, Ramachandran, Integrated circuit having multiple memory types and method of formation.
  236. Courtright David A. ; Trawick David L., Integrated circuit having reprogramming cell.
  237. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Integrated circuit memory device and method.
  238. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same.
  239. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  240. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  241. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  242. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  243. Ahn, Kie Y.; Forbes, Leonard, Integrated decoupling capacitors.
  244. Jeon, Joong S.; Halliyal, Arvind, Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices.
  245. Arne W. Ballantine ; Douglas A. Buchanan ; Eduard A. Cartier ; Kevin K. Chan ; Matthew W. Copel ; Christopher P. D'Emic ; Evgeni P. Gousev ; Fenton Read McFeely ; Joseph S. Newbury ; Harald , Interfacial oxidation process for high-k gate dielectric process integration.
  246. Vyvoda, Michael A.; Herner, S. Brad; Petti, Christopher J.; Walker, Andrew J., Inverted staggered thin film transistor with salicided source/drain structures and method of making same.
  247. Wagner, Sigurd; Chen, Yu, Inverter made of complementary p and n channel transistors using a single directly-deposited microcrystalline silicon film.
  248. Glass Thomas R. ; Schofield Kevin H., Irradiation mask.
  249. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  250. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  251. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films by plasma oxidation.
  252. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films.
  253. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films by plasma oxidation.
  254. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  255. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectrics.
  256. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide dielectric layer.
  257. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide/hafnium oxide dielectrics.
  258. Ahn,Kie; Forbes,Leonard, Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics.
  259. Glassman Timothy E. (Danbury CT) Chayka Paul V. (New Milford CT), Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films.
  260. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  261. Maria, Jon-Paul; Kingon, Angus Ian, Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors.
  262. Kusumoto Naoto,JPX ; Takayama Toru,JPX ; Yonezawa Masato,JPX, Laser annealing method and laser annealing device.
  263. Cullis Anthony G. (Worcester GB2) Webber Hugh C. (Malvern GB2) Bailey Paul (Oldham GB2), Laser beam annealing diffuser.
  264. Geusic, Joseph E., Low temperature silicon wafer bond process with bulk material bond strength.
  265. Joseph E. Geusic, Low temperature silicon wafer bond process with bulk material bond strength.
  266. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics.
  267. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics.
  268. Ahn,Kie Y.; Forbes,Leonard, Low-temperature growth high-quality ultra-thin praseodymium gate dieletrics.
  269. Cho, Hag-ju, METHODS OF MANUFACTURING INTEGRATED CIRCUIT DEVICES THAT INCLUDE A METAL OXIDE LAYER DISPOSED ON ANOTHER LAYER TO PROTECT THE OTHER LAYER FROM DIFFUSION OF IMPURITIES AND INTEGRATED CIRCUIT DEVICES M.
  270. Manthiram Arumugam ; Kim Jaekook, Manganese oxyiodides and their method of preparation and use in energy storage.
  271. Sywyk Stefan P., Memory access method and apparatus and multi-plane memory device with prefetch.
  272. Leonard Forbes ; Kie Y. Ahn, Memory address and decode circuits with ultra thin body transistors.
  273. Forbes Leonard ; Noble Wendell P., Memory address decode array with vertical transistors.
  274. Leonard Forbes ; Wendell P. Noble, Memory address decode array with vertical transistors.
  275. Gonzalez, Fernando, Memory cell arrays.
  276. Evans ; Jr. Joseph T. (Albuquerque NM) Bullington Jeff A. (Albuquerque NM), Memory cell based on ferro-electric non volatile variable resistive element.
  277. Stewart Roger G. (Hillsborough Township ; Somerset County NJ) Ipri Alfred C. (Hopewell Township ; Mercer County NJ) Napoli Louis S. (Hamilton Township ; Mercer County NJ), Memory cell for a dense EPROM.
  278. Noble Wendell P. ; Forbes Leonard ; Ahn Kie Y., Memory cell having a vertical transistor with buried source/drain and dual gates.
  279. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., Memory cell having a vertical transistor with buried source/drain and dual gates.
  280. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, Memory cell having a vertical transistor with buried source/drain and dual gates.
  281. Sandhu, Sukesh; Gealy, Dan; Sandhu, Gurtej Singh, Memory cell with tight coupling.
  282. Forbes Leonard ; Noble Wendell P. ; Ahn Kie Y., Memory cell with vertical transistor and buried word and body lines.
  283. Leonard Forbes ; Wendell P. Noble ; Kie Y. Ahn, Memory cell with vertical transistor and buried word and body lines.
  284. Aronowitz,Sheldon; Zubkov,Vladimir; Sun,Grace S., Memory device having an electron trapping layer in a high-K dielectric gate stack.
  285. Forbes Leonard ; Geusic Joseph E., Memory using insulator traps.
  286. Forbes Leonard ; Geusic Joseph E., Memory using insulator traps.
  287. Forbes Leonard ; Geusic Joseph E., Memory using insulator traps.
  288. Forbes, Leonard; Geusic, Joseph E., Memory using insulator traps.
  289. Leonard Forbes ; Joseph E. Geusic, Memory using insulator traps.
  290. K. O. Kenneth (Cambridge MA) Lee Hae-Seung (Watertown MA) Reif L. Rafael (Newton MA), Merged bipolar and insulated gate transistors.
  291. Hentges, Patrick J.; Greene, Laura H.; Pafford, Margaret Mary; Westwood, Glenn; Klemperer, Walter G., Metal alkoxides and methods of making same.
  292. Kirlin Peter S. ; Brown Duncan W. ; Baum Thomas H. ; Vaarstra Brian A. ; Gardiner Robin A., Metal complex source reagents for chemical vapor deposition.
  293. Brian A. Vaartstra, Metal complexes with chelating O-and/or N-donor ligands.
  294. Sam Yang ; Vishnu K. Agarwal, Metal oxynitride capacitor barrier layer.
  295. Forbes,Leonard; Farrar,Paul A.; Ahn,Kie Y., Metal-substituted transistor gates.
  296. Brasen Daniel (Lake Hiawatha NJ) Willens Ronald H. (Warren NJ), Metallized semiconductor device including an interface layer.
  297. Bloomquist Douglas D. ; Buchheit Rudy ; Greenly John B. ; McIntyre Dale C. ; Neau Eugene L. ; Stinnett Regan W., Method and apparatus for altering material using ion beams.
  298. Nagakubo Masao (Chiryu JPX) Fujino Seiji (Toyota JPX) Senda Kouji (Oobu JPX) Hattori Tadashi (Okazaki JPX), Method and apparatus for direct bonding two bodies.
  299. Dennis Roger Peterson ; Dennis Eugene Wilson, Method and apparatus for direct electrothermal-physical conversion of ceramic into nanopowder.
  300. Peterson, Dennis Roger; Wilson, Dennis Eugene, Method and apparatus for direct electrothermal-physical conversion of ceramic into nanopowder.
  301. Jiang Tongbi ; Li Li, Method and apparatus for electroless plating a contact pad.
  302. Kersh ; III David V. (Houston TX) Norwood Roger D. (Sugarland TX), Method and apparatus for inhibiting a predecoder when selecting a redundant row line.
  303. Venkataranan Shankar ; Hendrickson Scott ; Shmurun Inna ; Nguyen Son T., Method and apparatus for processing semiconductive wafers.
  304. Dunham Scott William, Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes.
  305. Daniel Gealy ; Dave Chapek ; Scott DeBoer ; Husam N. Al-Shareef ; Randhir Thakur, Method and apparatus for stabilizing high pressure oxidation of a semiconductor device.
  306. Gealy F. Daniel ; Chapek Dave ; DeBoer Scott ; Al-Shareef Husam N. ; Thakur Randhir, Method and apparatus for stabilizing high pressure oxidation of a semiconductor device.
  307. Ahn, Kie Y.; Forbes, Leonard, Method and apparatus for the fabrication of ferroelectric films.
  308. Kie Y. Ahn ; Leonard Forbes, Method and apparatus for the fabrication of ferroelectric films.
  309. Bauer Mark E. ; Wells Steven ; Brown David M. ; Javanifard Johnny ; Sweha Sherif ; Hasbun Robert N. ; Gallagher Gary J. ; Rashid Mamun ; Rozman Rodney R. ; Hawk Glen ; Blanchard George ; Winston Mark, Method and circuitry for usage of partially functional nonvolatile memory.
  310. Wang,Ming Fang; Chen,Chia Lin; Yang,Chih Wei; Chen,Chi Chun; Hou,Tuo Hung; Lin,Yeou Ming; Yao,Liang Gi; Chen,Shih Chang, Method and structure for forming high-k gates.
  311. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  312. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  313. Gardner Mark I. ; Nistler John L. ; May Charles E., Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices.
  314. Sharan Sujit ; Sandhu Gurtej S., Method for PECVD deposition of selected material films.
  315. Goesele Ulrich M. (Durham NC) Lehmann Volker (Durham NC), Method for bubble-free bonding of silicon wafers.
  316. Thakur Randhir P. S., Method for cleaning semiconductor wafers.
  317. Thakur Randhir P.S., Method for cleaning semiconductor wafers and.
  318. Noble Wendell P., Method for coupling to semiconductor device in an integrated circuit having edge-defined, sub-lithographic conductors.
  319. Chang, Jane; Lin, You-Sheng; Kepten, Avishai; Sendler, Michael; Levy, Sagy; Bloom, Robin, Method for depositing a coating having a relatively high dielectric constant onto a substrate.
  320. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method for depositing a nanolaminate film by atomic layer deposition.
  321. Rolfson J. Brett, Method for depositing doped amorphous or polycrystalline silicon on a substrate.
  322. Forrest Stephen R. ; Bulovic Vladimir ; Burrows Paul, Method for deposition and patterning of organic thin film.
  323. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  324. Eugene P. Marsh, Method for fabricating an SrRuO3 film.
  325. Kim, Younsoo, Method for fabricating metal electrode with atomic layer deposition (ALD) in semiconductor device.
  326. Dalal Hormazdyar M. (Wappingers Falls NY) Ghafghaichi Majid (Poughkeepsie NY) Kasprzak Lucian A. (Hopewell Junction NY) Wimpfheimer Hans (Poughkeepsie NY), Method for fabricating tantalum semiconductor contacts.
  327. Messing, Gary L.; Kwon, Songtae; Sabolsky, Edward M., Method for fabrication of lead-based perovskite materials.
  328. Tarui Yasuo (No. 6-4 ; Minamisawa 5-chome Higashikurume City ; Tokyo JPX) Soutome Yoshihiro (Osaka JPX) Morita Shinichi (Yokosuka JPX) Tanimoto Satoshi (Tokyo JPX), Method for ferroelectric thin film production.
  329. Choi, Sung-Je, Method for forming a dielectric layer of a semiconductor device.
  330. Park Dong Su,KRX, Method for forming a gate insulating film for semiconductor devices.
  331. Vidya S. Kaushik, Method for forming a high dielectric constant material.
  332. Agarwal, Vishnu K.; Derderian, Garo J.; Gealy, F. Daniel, Method for forming a multilayer electrode for a ferroelectric capacitor.
  333. Trivedi, Jigish D., Method for forming a notched damascene planar poly/metal gate.
  334. Forbes, Leonard, Method for forming a programmable decoder with vertical transistors.
  335. Allen David H. (Boise ID), Method for forming a shielding structure for decoupling signal traces in a semiconductor.
  336. Geusic Joseph E. ; Forbes Leonard ; Ahn Kie Y., Method for forming high capacitance memory cells.
  337. Maiti Bikas ; Tobin Philip J. ; Hegde Rama I. ; Cuellar Jesus, Method for forming high dielectric constant metal oxides.
  338. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method for forming integrated circuits using high aspect ratio vias through a semiconductor wafer.
  339. Choi, Eun-Seok, Method for forming metal films.
  340. Jong-myeong Lee KR; Hyun-seok Lim KR; Byung-hee Kim KR; Gil-heyun Choi KR; Sang-in Lee KR, Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby.
  341. Yun-sook Chae KR; Sang-bom Kang KR; Gil-heyun Choi KR; In-sang Jeon KR, Method for forming metal layer of semiconductor device using metal halide gas.
  342. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  343. Vaartstra Brian A., Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands.
  344. Yano Yoshihiko,JPX ; Noguchi Takao,JPX ; Nagano Katsuto,JPX, Method for forming oxide thin film and the treatment of silicon substrate.
  345. Yang, Haining; Sandhu, Gurtej S., Method for forming platinum-rhodium stack as an oxygen barrier.
  346. Cho, Ho Jin, Method for forming polyatomic layers.
  347. Kim Ki Bum,KRX ; Yoon Tae Sik,KRX ; Kwon Jang Yeon,KRX, Method for forming quantum dot in semiconductor device and a semiconductor device resulting therefrom.
  348. Park Bo Hyun,KRX, Method for forming shallow junction for semiconductor device.
  349. Ahn, Kie Y.; Forbes, Leonard, Method for forming single electron resistor memory.
  350. Zavracky Paul M. (Norwood MA) Zavracky Matthew (Attleboro MA) Vu Duy-Phach (Taunton MA) Dingle Brenda (Mansfield MA), Method for forming three dimensional processor using transferred thin film circuits.
  351. Ritala, Mikko; Rahtu, Antti; Leskela, Markku; Kukli, Kaupo, Method for growing thin oxide films.
  352. Shahvandi Iraj,DEX ; Vatel Oliver,DEX ; John Peggy,DEX, Method for heating a semiconductor wafer in a process chamber by a shower head, and process chamber.
  353. Ruff, Alexander; Kegel, Wilhelm; Karcher, Wolfram; Schrems, Martin, Method for increasing the capacitance in a storage trench.
  354. Ernst Bayer DE; Hans Fritz DE; Martin Maier ; Jens Schewitz DE; Michael Gerster DE, Method for isolating anionic organic substances from aqueous systems using cationic polymer nanoparticles.
  355. Ahn, Kie Y.; Forbes, Leonard, Method for making a ferroelectric memory transistor.
  356. David Christopher Gilmer, Method for making a hafnium-based insulating film.
  357. Feenstra Roeland ; Christen David ; Paranthaman Mariappan, Method for making high-critical-current-density YBa.sub.2 Cu.sub.3 O.sub.7 superconducting layers on metallic substrate.
  358. Klinedinst Keith A. (Marlboro MA) Gary Richard A. (Everett MA) Lichtensteiger Silvia E. (Acton MA), Method for making moisture insensitive zinc sulfide based luminescent materials.
  359. Christopher C. Hobbs ; Baohong Cheng ; Lurae G. Dip, Method for making semiconductor device.
  360. Bhattacharyya Arup (Essex Junction VT) Chu Wei-Kan (Poughkeepsie NY) Howard James K. (Fishkill NY) Wiedman Francis W. (Stowe VT), Method for manufacture of ultra-thin film capacitor.
  361. Hong Gary,TWX, Method for manufacturing DRAM capacitor.
  362. Iwaki,Takashi; Tsukamoto,Takeo, Method for manufacturing carbon fibers and electron emitting device using the same.
  363. Tatsuro Maeda JP, Method for manufacturing self-matching transistor.
  364. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  365. Thakur, Randhir P. S.; Weimer, Ronald A., Method for processing wafers in a semiconductor fabrication system.
  366. Tuan Hsiao-Chin (Hsin-Chu TWX) Chou Hsiang-Ming J. (Hsin-Chu TWX), Method for producing a roughened surface capacitor.
  367. Schwalke Udo (Heldenstein DEX), Method for producing an insulating trench in an SOI substrate.
  368. Watanabe Yoshitane,JPX ; Suzuki Keitaro,JPX ; Koyama Yoshinari,JPX ; Iijima Motoko,JPX, Method for producing composite sol, coating composition, and optical element.
  369. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  370. Schulz, Robert; Huot, Jacques; Liang, Guoxian; Boily, Sabin, Method for producing gaseous hydrogen by chemical reaction of metals or metal hydrides subjected to intense mechanical deformations.
  371. Phillips, Jonathan; Perry, William L.; Kroenke, William J., Method for producing metallic microparticles.
  372. Phillips, Jonathan; Perry, William L.; Kroenke, William J., Method for producing metallic nanoparticles.
  373. Yu, Kathleen C.; Travis, Edward O.; Smith, Bradley P., Method for providing a dummy feature and structure thereof.
  374. Kawai Motochika (Fujisawa JA) Shimozawa Izuru (Odawara JA), Method for reinforcing aqueous hydraulic cement.
  375. Doan Trung T. (1574 Shenandoan Dr. Boise ID 83712), Method for roughening a silicon or polysilicon surface for a semiconductor substrate.
  376. White Ted R. (Austin TX) Klein Jeff L. (Austin TX), Method for selectively depositing tungsten on a substrate by using a spin-on metal oxide.
  377. Gealy, F. Daniel; DeBoer, Scott; Chapek, Dave; Al-Shareef, Husam N.; Thakur, Randhir, Method for stabilizing high pressure oxidation of a semiconductor device.
  378. Gruen Dieter M. ; Krauss Alan R., Method for the preparation of nanocrystalline diamond thin films.
  379. Lavernia Enrique J., Method for thermal spraying of nanocrystalline coatings and materials for the same.
  380. Wilk Glen D. ; Wei Yi ; Wallace Robert M., Method for thin film deposition on single-crystal semiconductor substrates.
  381. Van Wijck, Margreet Albertine Anne-Marie, Method for vapour deposition of a film onto a substrate.
  382. Ahn,Kie Y.; Forbes,Leonard, Method including forming gate dielectrics having multiple lanthanide oxide layers.
  383. Aitken John M. (Mahopac NY) Akbar Shahzad (Austin TX) Crowder Billy L. (Putnam Valley NY) Iqbal Asif (Danbury CT) Nihal Perwaiz (Hopewell Junction NY), Method of Fabricating a micro-coaxial wiring structure.
  384. Field Anthony J. (Cambridge GBX), Method of and apparatus for processing video signals.
  385. Cramer, Ronald Dean; Ponomarenko, Ekaterina Anatolyevna; St. Laurent, James Charles Theophile Roger Burckett, Method of applying nanoparticles.
  386. Yin, Zhiping, Method of decontaminating process chambers, methods of reducing defects in anti-reflective coatings, and resulting semiconductor structures.
  387. Brian A. Vaartstra, Method of depositing films by using carboxylate complexes.
  388. Vaartstra Brian A., Method of depositing films by using carboxylate complexes.
  389. Vaartstra Brian A., Method of depositing films on semiconductor devices by using carboxylate complexes.
  390. Huganen, Juha; Kanniainen, Tapio, Method of depositing thin films for magnetic heads.
  391. Ko, Chang Hyun; You, Young Sub; Lee, Jai Dong; Hwang, Ki Hyun, Method of fabricating a capacitor of a semiconductor device.
  392. Richardson William F. (Richardson TX), Method of fabricating a high density EPROM cell on a trench wall.
  393. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating a highly reliable gate oxide.
  394. Leonard Forbes ; Kie Y. Ahn, Method of fabricating a semiconductor-on-insulator memory cell with buried word and body lines.
  395. Wu Shye-Lin (Nan-Tou TWX) Lee Chung-Len (Hsinchu TWX) Lei Tan-Fu (Hsinchu TWX), Method of fabricating a textured tunnel oxide for EEPROM applications.
  396. Marsh, Eugene P., Method of fabricating an SrRuO3 film.
  397. Dhong Sang H. (Mahopac NY) Hwang Wei (Armonk NY) Lu Nicky C. (Yorktown Heights NY), Method of fabricating cross-point lightly-doped drain-source trench transistor.
  398. Yang Ming-Tzong (Hsin-Chu TWX) Hong Gary (Hsin-Chu TWX), Method of fabrication of MOSFET device with buried bit line.
  399. Hidehiko, Shiraiwa; Halliyal, Arvind; Park, Jaeyong, Method of formation of semiconductor resistant to hot carrier injection stress.
  400. Gealy, F. Daniel; Graettinger, Thomas M., Method of forming a capacitor.
  401. Mathews Viju K. (Boise) Yu Chang (Boise) Tuttle Mark E. (Boise) Doan Trung T. (Boise ID), Method of forming a capacitor in semiconductor wafer processing.
  402. Jeng Nanseng (Boise ID) Harshfield Steven T. (Emmett ID) Schuele Paul J. (Boise ID), Method of forming a contact using a trench and an insulation layer during the formation of a semiconductor device.
  403. Ma Yanjun ; Ono Yoshi, Method of forming a doped metal oxide dielectric film.
  404. Wen-Ting Chu TW; Di-Son Kuo TW; Jack Yeh TW; Chia-Ta Hsieh TW; Chuan-Li Chang TW, Method of forming a floating gate self-aligned to STI on EEPROM.
  405. Forbes Leonard ; Noble Wendell P., Method of forming a logic array for a decoder.
  406. Ma, Yanjun; Ono, Yoshi, Method of forming a multilayer dielectric stack.
  407. Lee, Jang-Eun; Park, Sun-Hoo; Son, Jung-Hoon, Method of forming a quantum dot and a gate electrode using the same.
  408. Hirota Toshiyuki (Tokyo JPX), Method of forming a roughened surface capacitor with two etching steps.
  409. Forbes, Leonard; Ahn, Kie Y., Method of forming a weak ferroelectric transistor.
  410. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method of forming an optical fiber interconnect through a semiconductor wafer.
  411. Sandhu, Gurtej S.; Doan, Trung Tri, Method of forming capacitor constructions.
  412. DeBoer Scott Jeffrey ; Gealy F. Daniel ; Thakur Randhir P. S., Method of forming capacitors containing tantalum.
  413. DeBoer, Scott Jeffrey; Gealy, F. Daniel; Thakur, Randhir P. S., Method of forming capacitors containing tantalum.
  414. Forbes, Leonard; Ahn, Kie Y., Method of forming coaxial integrated circuitry interconnect lines.
  415. Wu Shye-Lin,TWX, Method of forming high density flash memories with MIM structure.
  416. Michael Chudzik ; Oleg Gluschenkov ; Raj Jammy ; Uwe Schroeder GB; Helmut Tews, Method of forming low-leakage on-chip capacitor.
  417. Gardiner Robin A. ; Kirlin Peter S. ; Baum Thomas H. ; Gordon Douglas ; Glassman Timothy E. ; Pombrik Sofia ; Vaartstra Brian A., Method of forming metal films on a substrate by chemical vapor deposition.
  418. Birrittella Mark S. (Phoenix AZ) Liaw Hang M. (Scottsdale AZ) Reuss Robert H. (Scottsdale AZ), Method of forming transistors with poly-sidewall contacts utilizing deposition of polycrystalline and insulating layers.
  419. Pekka J. Soininen FI; Kai-Erik Elers FI; Suvi Haukka FI, Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH.
  420. Cramer, Ronald Dean; Rohrbaugh, Robert Henry; Carter, John David; Thuemmler, Karl Edward; Ponomarenko, Ekaterina Anatolyevna; Schmidt, Mattias, Method of hydrophilizing materials.
  421. Alain E. Kaloyeros ; Ana Londergan ; Barry Arkles, Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt.
  422. Ahn, Kie Y.; Forbes, Leonard, Method of making a chip packaging device having an interposer.
  423. Hsieh Chang-Ming (Fishkill NY) Hsu Louis L. C. (Fishkill NY) Ogura Seiki (Hopewell Junction NY), Method of making a high-density DRAM structure on SOI.
  424. Ma Manny K. F., Method of making a structure for providing signal isolation and decoupling in an integrated circuit device.
  425. Kenjiro Higaki,JPX ; Saburo Tanaka,JPX ; Hideo Itozaki,JPX ; Shuji Yazu,JPX, Method of making a superconducting microwave component by off-axis sputtering.
  426. Kim Jong S. (Sungnam KRX) Yoon Hee-Koo (Seoul KRX) Choi Chung G. (Kyoungki-Do KRX), Method of making dynamic random access memory having a vertical transistor.
  427. Zhou, Otto Z., Method of making nanotube-based material with enhanced electron field emission properties.
  428. Ikegami Masami,JPX, Method of making nonvolatile memory elements with selector transistors.
  429. Chang Robert Pang Heng ; Lauerhaas Jeffrey Michael ; Marks Tobin Jay ; Pernisz Udo C., Method of making silica nanoparticles.
  430. Strutt Peter R. ; Kear Bernard H. ; Boland Ross F., Method of manufacture of nanostructured feeds.
  431. Ahn, Kie Y.; Forbes, Leonard, Method of manufacturing a single electron resistor memory device.
  432. Min,Yo sep; Bae,Eun ju; Choi,Won bong; Cho,Young jin; Lee,Jung hyun, Method of manufacturing inorganic nanotube.
  433. Arima Hideaki (Hyogo JPX), Method of manufacturing semiconductor memory device.
  434. Elers, Kai-Erik, Method of modifying source chemicals in an ald process.
  435. Beyer Klaus D. (Poughkeepsie NY) Hsu Louis L. (Fishkill NY) Silvestri Victor J. (Hopewell Junction NY) Yapsir Andrie S. (Pleasane Valley NY), Method of producing a thin silicon-on-insulator layer.
  436. Yamagata, Kenji, Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device.
  437. Sandhu, Gurtej S.; Doan, Trung T., Method of providing a silicon film having a roughened outer surface.
  438. Zhiping Yin, Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby.
  439. Ueno, Mayumi; Sunkara, Mahendra Kumar, Method of synthesizing metal doped diamond-like carbon films.
  440. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method to deposit a stacked high-κ gate dielectric for CMOS applications.
  441. Li Jianxun,SGX ; Chooi Simon,SGX ; Zhou Mei-Sheng,SGX, Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion.
  442. Wu Shye-Lin,TWX, Method to manufacture nonvolatile memories with a trench-pillar cell structure for high capacitive coupling ratio.
  443. Ownby Gary W. (Knoxville TN) White Clark W. (Oak Ridge TN) Zehner David M. (Lenoir City TN), Method using laser irradiation for the production of atomically clean crystalline silicon and germanium surfaces.
  444. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  445. Ellie Yieh ; Li-Qun Xia ; Srinivas Nemani, Methods and apparatus for shallow trench isolation.
  446. Ahn,Kie Y.; Forbes,Leonard, Methods for atomic-layer deposition of aluminum oxides in integrated circuits.
  447. Agarwal, Vishnu K.; Derderian, Garo; Sandhu, Gurtej S.; Li, Weimin M.; Visokay, Mark; Basceri, Cem; Yang, Sam, Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers.
  448. Weling, Milind; Bothra, Subhas; Gabriel, Calvin Todd; Misheloff, Michael, Methods for forming co-axial interconnect lines in a CMOS process for high speed applications.
  449. Basceri, Cem; Sandhu, Gurtej, Methods for forming conductive structures and structures regarding same.
  450. Ahn, Kie Y.; Forbes, Leonard, Methods for forming dielectric materials and methods for forming semiconductor devices.
  451. Haukka, Suvi P.; Tuominen, Marko, Methods for making a dielectric stack in an integrated circuit.
  452. Forbes Leonard, Methods for making silicon-on-insulator structures.
  453. Brian A. Vaartstra, Methods for removing rhodium- and iridium-containing films.
  454. Visokay, Mark; Chambers, James Joseph; Colombo, Luigi; Rotondaro, Antonio Luis Pacheco, Methods for sputter deposition of high-k dielectric films.
  455. Ross H. Hill CA; Juan Pablo Bravo-Vasquez CA, Methods for the lithographic deposition of materials containing nanoparticles.
  456. Chambers, James Joseph, Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness.
  457. Srividya, Cancheepuram V.; Gealy, F. Daniel; Graettinger, Thomas M., Methods of depositing noble metals and methods of forming capacitor constructions.
  458. Sandhu, Gurtej S.; Doan, Trung Tri, Methods of forming capacitor constructions.
  459. Basceri, Cem; Gealy, F. Daniel; Sandhu, Gurtej S, Methods of forming capacitor constructions, and methods of forming constructions comprising dielectric materials.
  460. Al-Shareef, Husam N.; DeBoer, Scott Jeffrey; Gealy, F. Daniel; Thakur, Randhir P. S., Methods of forming capacitors.
  461. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Methods of forming coaxial integrated circuitry interconnect lines.
  462. Basceri, Cem; Gealy, F. Daniel; Sandhu, Gurtej S., Methods of forming hafnium-containing materials, methods of forming hafnium oxide, and capacitor constructions comprising hafnium oxide.
  463. Branagan, Daniel J., Methods of forming hardened surfaces.
  464. Drewes, Joel A., Methods of forming magnetoresisitive devices.
  465. Eldridge, Jerome M., Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure.
  466. Sandhu, Gurtej S.; Doan, Trung Tri, Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions.
  467. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  468. Ahn, Kie Y., Methods, systems, and apparatus for uniform chemical-vapor depositions.
  469. Brian A. Vaartstra ; Donald L. Westmoreland, Mixed metal nitride and boride barrier layers.
  470. Kusunoki Shigeru (Hyogo JPX), Multi-layer type semiconductor device with semiconductor element layers stacked in opposite direction and manufacturing.
  471. Kurtz Anthony D. (Teaneck NJ) Ned Alexander A. (Bloomingdale NJ), Multi-level semiconductor structures having environmentally isolated elements.
  472. Daly, Terence Gerard, Multi-reel slot machine with selectable reel play.
  473. Cleeves, James M.; Subramanian, Vivek, Multigate semiconductor device with vertical channel current and method of fabrication.
  474. Cleeves, James M.; Subramanian, Vivek, Multigate semiconductor device with vertical channel current and method of fabrication.
  475. Lee, Kang N., Multilayer article characterized by low coefficient of thermal expansion outer layer.
  476. Agarwal, Vishnu K.; Derderian, Garo J.; Gealy, F. Daniel, Multilayer electrode for a ferroelectric capacitor.
  477. Agarwal, Vishnu K.; Derderian, Garo J.; Gealy, F. Daniel, Multilayer electrode for a ferroelectric capacitor.
  478. Agarwal Vishnu K. ; Derderian Garo J. ; Gealy F. Daniel, Multilayer electrode for ferroelectric and high dielectric constant capacitors.
  479. Senzaki, Yoshihide, Multilayer high κ dielectric films.
  480. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film.
  481. Forbes, Leonard, Multilevel semiconductor-on-insulator structures and circuits.
  482. Chen Wei ; Smith ; III Theoren Perlee ; Tiwari Sandip, Nano-structure memory device.
  483. Choi, Wee Kiong; Chim, Wai Kin; Ng, Vivian; Chan, Lap, Nanocrystal flash memory device and manufacturing method therefor.
  484. Forbes, Leonard, Nanocrystal write once read only memory for archival storage.
  485. Slaughter, Jon M.; Dave, Renu W.; Sun, Jijun, Nanocrystalline layers for improved MRAM tunnel junctions.
  486. Gan-Moog Chow SG; Lynn K. Kurihara ; T. Danny Xiao ; Peter R. Strutt ; Christopher W. Strock ; Raymond A. Zatorski, Nanosize particle coatings made by thermally spraying solution precursor feedstocks.
  487. Arvind Halliyal ; Robert Bertram Ogle, Jr. ; Joong S. Jeon ; Fred Cheung ; Effiong Ibok, Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material.
  488. Jin, Been-Yih; Arghavani, Reza; Chau, Robert, Non-silicon semiconductor and high-k gate dielectric metal oxide semiconductor field effect transistors.
  489. Chindalore, Gowrishankar L.; Ingersoll, Paul A.; Swift, Craig T.; Hoefler, Alexander B., Non-volatile memory device and method for forming.
  490. Kehr Clifton L. (Silver Spring MD) Marans Nelson S. (Silver Spring MD), Novel hydrophobic polyurethane foams.
  491. Arami Junichi,JPX ; Ishikawa Kenji,JPX ; Kitamura Masayuki,JPX, One-by-one type heat-processing apparatus.
  492. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  493. Lawandy Nabil R. (Providence RI), Optical gain medium having doped nanocrystals of semiconductors and also optical scatterers.
  494. Brock Lieselotte (Aachen DEX) Frank Gunter (Aachen DEX) Vitt Bruno (Aachen DEX), Optical interference filter.
  495. Takeoka Yoshikatsu (Kawasaki JPX) Yasuda Nobuaki (Zushi JPX), Optical protuberant bubble recording medium.
  496. Iida, Tetsuya; Yoshikawa, Takamasa; Koike, Katsuhiro, Optical recording medium.
  497. Tokailin, Hiroshi; Nagasaki, Yoshikazu; Shibuya, Tadao, Organic electroluminescence element and production method thereof.
  498. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Oxide thin film, electronic device substrate and electronic device.
  499. Yang, Sam; Zheng, Lingyi A., Oxygen barrier for cell container process.
  500. Poelstra, Klaas; Beljaars, Eleonora; Meijer, Dirk Klaas Fokke; Schuppan, Detlef Bruno Igor, Peptide-based carrier devices for stellate cells.
  501. Danielson Earl ; Devenney Martin ; Giaquinta Daniel M., Phosphor Materials.
  502. Zhou Lin ; Zhang Xue-Shan, Physiotherapy fiber, shoes, fabric, and clothes utilizing electromagnetic energy.
  503. Lee,Chun Soo; Oh,Min Sub; Park,Hyung Sang, Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof.
  504. Tompa Gary Steven, Plasma enhanced chemical vapor deposition system.
  505. Cain John L. (Schertz TX) Relue Michael P. (San Antonio TX) Costabile Michael E. (San Antonio TX) Marsh William P. (San Antonio TX), Plasma processing apparatus.
  506. Donohoe Kevin G. ; Blalock Guy T., Plasma processing tools, dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers.
  507. Donohoe Kevin G. ; Blalock Guy T., Plasma producing tools, dual-source plasma etchers, dual-source plasma etching methods, and method of forming planar coil dual-source plasma etchers.
  508. Drage David J. (Sebastopol CA), Plasma reactor having slotted manifold.
  509. Chen, Jack Chieh-Cheng; Chen, Hancun; Prasad, Ravi; Whichard, Glenn, Plasma sprayed oxygen transport membrane coatings.
  510. Kieser Jrg (Albstadt DEX) Sellschopp Michael (Hammersbach DEX) Geisler Michael (Wchtersbach DEX), Plasma treatment apparatus.
  511. Tei, Goushu; Tanaka, Nobuyoshi; Ohmi, Tadahiro; Hirayama, Masaki, Plasma treatment method and method of manufacturing optical parts using the same.
  512. Nakahigashi Takahiro (Kyoto JPX) Murakami Hiroshi (Kyoto JPX) Otani Satoshi (Osaka JPX) Tabata Takao (Kyoto JPX) Maeda Hiroshi (Kyoto JPX) Kirimura Hiroya (Kyoto JPX) Kuwahara Hajime (Kyoto JPX), Plasma-CVD method and apparatus.
  513. Baum Thomas H. ; Kirlin Peter S. ; Pombrik Sofia, Platinum source compositions for chemical vapor deposition of platinum.
  514. Ahn Kie Y. ; Forbes Leonard, Porous silicon oxycarbide integrated circuit insulator.
  515. Ahn, Kie Y.; Forbes, Leonard, Porous silicon oxycarbide integrated circuit insulator.
  516. Kie Y. Ahn ; Leonard Forbes, Porous silicon oxycarbide integrated circuit insulator.
  517. Geiss Peter J. (Underhill VT) Kenney Donald M. (Shelburne VT), Porous silicon trench and capacitor structures.
  518. Bruley, John; Cabral, Jr., Cyril; Lavoie, Christian; Wagner, Tina J.; Wang, Yun Yu; Wildman, Horati S.; Hon, Wong Kwong, Pre-anneal of CoSi, to prevent formation of amorphous layer between Ti-O-N and CoSi.
  519. Cheung, Fred TK; Halliyal, Arvind, Precision high-K intergate dielectric layer.
  520. Vaartstra Brian A., Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide.
  521. Vaartstra Brian A., Precursor mixtures for use in preparing layers on substrates.
  522. Buchanan,Douglas A.; Neumayer,Deborah Ann, Precursor source mixtures.
  523. Gonczy Stephen T. (Mount Prospect IL) Lawson Randy J. (Arlington Heights IL) Rosen Bruce I. (Skokie IL), Preparation of ceramics.
  524. Halliyal, Arvind; Jeon, Joong S.; Ngo, Minh Van; Ogle, Robert B., Preparation of composite high-K/standard-K dielectrics for semiconductor devices.
  525. Marans Nelson S. (Silver Spring MD) Kehr Clifton L. (Silver Spring MD), Preparation of solid polyurethane particles.
  526. Simons Guido,DEX ; Strecker ; deceased Helmut,DEX ITX by Renate Strecker ; executor ; Molz Peter,DEX ; Schnorr Gerd,DEX ; Skrzipczyk Heinz Jurgen,DEX ; Wissmann Hans,DEX, Process and test kit for determining free active compounds in biological fluids.
  527. Song, Kevin; Ravi, Jallepally; Li, Shih-Hung; Chen, Liang-Yuh, Process conditions and precursors for atomic layer deposition (ALD) of AL2O3.
  528. Smith, Ryan C.; Ma, Tiezhong; Campbell, Stephen A.; Gladfelter, Wayne L., Process for forming hafnium oxide films.
  529. Hong Gary (Hsin-Chu TWX), Process for high density flash EPROM cell.
  530. Hong Gary (Hsin-Chu TWX), Process for high density split-gate memory cell for flash or EPROM.
  531. Marsh, Eugene P.; Uhlenbrock, Stefan, Process for low temperature atomic layer deposition of Rh.
  532. Douglas R. Roberts ; Eric Luckowski, Process for making a MIM capacitor.
  533. Ebbinghaus Bartley B. ; Van Konynenburg Richard A. ; Vance Eric R.,AUX ; Stewart Martin W.,AUX ; Walls Philip A.,AUX ; Brummond William Allen ; Armantrout Guy A. ; Herman Connie Cicero ; Hobson Bever, Process for making a ceramic composition for immobilization of actinides.
  534. Senzaki, Yoshihide; Hochberg, Arthur Kenneth; Norman, John Anthony Thomas, Process for metal metalloid oxides and nitrides with compositional gradients.
  535. Yoshihiko Yano JP; Takao Noguchi JP, Process for preparing ferroelectric thin films.
  536. Sarkas, Harry W.; Piepenbrink, Jonathan, Process for preparing nanostructured materials of controlled surface chemistry.
  537. Doan Trung T. (Boise ID) Lowrey Tyler A. (Boise ID), Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit cap.
  538. Putkonen, Matti, Process for producing oxide thin films.
  539. Shiraiwa, Hidehiko; Park, Jaeyong; Cheung, Fred T K; Halliyal, Arvind, Process for reducing hydrogen contamination in dielectric materials in memory devices.
  540. Wilk, Glen David; Ye, Peide, Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate.
  541. Becker Michael F. (2734 Trail of Madrones Austin TX 78746) Brock James R. (1801 Lavaca ; Apt. 6E Austin TX 78701-1305) Keto John W. (1808 Basin Ledge Austin TX 78746), Process for the production of nanoparticles.
  542. Rao Nagaraja P. ; Girshick Steven L. ; McMurry Peter H. ; Heberlein Joachim V. R., Production of nanostructured materials by hypersonic plasma particle deposition.
  543. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Programmable array logic or memory devices with asymmetrical tunnel barriers.
  544. Turner John E. (Beaverton OR) Josephson Gregg R. (Lake Oswego OR), Programmable logic array.
  545. Noble Wendell P. ; Forbes Leonard, Programmable logic array with vertical transistors.
  546. Noble, Wendell P.; Forbes, Leonard, Programmable logic array with vertical transistors.
  547. Wendell P. Noble ; Leonard Forbes, Programmable logic array with vertical transistors.
  548. Leonard Forbes ; Kie Y. Ahn, Programmable logic arrays with ultra thin body transistors.
  549. Turner John E. (Beaverton OR) Rutledge David L. (Beaverton OR), Programmable logic device.
  550. Forbes, Leonard, Programmable memory address and decode circuits with ultra thin vertical body transistors.
  551. Forbes, Leonard, Programmable memory address and decode circuits with vertical body transistors.
  552. Forbes Leonard ; Noble Wendell P., Programmable memory address decode array with vertical transistors.
  553. Forbes, Leonard; Noble, Wendell P., Programmable memory address decode array with vertical transistors.
  554. Huang, Jen-Ren; Chou, Ming-Hung; Chiou, Jen-Ren, Programming a flash memory cell.
  555. Ofer Sneh, Radical-assisted sequential CVD.
  556. Sneh Ofer, Radical-assisted sequential CVD.
  557. Thompson Richard D. (Millwood NY) Tsaur Boryeu (Arlington MA) Tu King-Ning (Chappaqua NY), Rare earth silicide Schottky barriers.
  558. Beyer Klaus D. (Poughkeepsie NY) Yapsir Andrie S. (Pleasant Valley NY), Reach-through isolation silicon-on-insulator device.
  559. Fukunaga Yukio,JPX ; Shinozaki Hiroyuki,JPX ; Tsukamoto Kiwamu,JPX ; Saitoh Masao,JPX, Reactant gas ejector head.
  560. Chu Chin-Chiun (North Brunswick NJ), Reactions involving zeolite catalysts modified with group IV A metals.
  561. Beigel, Michael L., Rectifying charge storage device with bi-stable states.
  562. Beigel, Michael L.; Yang, Yang, Rectifying charge storage element.
  563. Michael L. Beigel ; Yang Yang, Rectifying charge storage element.
  564. Manning Monte (Kuna ID), Redundancy elements using thin film transistors (TFTs).
  565. Manning Monte (Kuna ID), Redundancy elements using thin film transistors (TFTs).
  566. Yang, Haining; Gealy, Dan; Sandhu, Gurtej S.; Rhodes, Howard; Visokay, Mark, Rhodium-rich oxygen barriers.
  567. Lu Chih-Yuan (Taipei TWX), Roughened polysilicon surface capacitor electrode plate for high denity dram.
  568. Marsh, Eugene P.; Kraus, Brenda D., RuSixOy-containing adhesion layers and process for fabricating the same.
  569. Bronner Gary B. (Stormville NY) DeBrosse John K. (Burlington VT) Mandelman Jack A. (Stormville NY), SOI DRAM with field-shield isolation and body contact.
  570. Kenney Donald M., SOI fabrication method.
  571. Rajeevakumar Thekkemadathil V. (Scarsdale NY), SOI trench DRAM cell for 256 MB DRAM and beyond.
  572. Christopher Hobbs ; Rama I. Hegde ; Philip J. Tobin, Selective removal of a metal oxide dielectric.
  573. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  574. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  575. Burns ; Jr. Stuart Mcallister ; Hanafi Hussein Ibrahim ; Welser Jeffrey J. ; Kocon Waldemar Walter ; Kalter Howard Leo, Self-aligned diffused source vertical transistors with stack capacitors in a 4F-square memory cell array.
  576. Jones, Robert E.; Barron, Carole C.; Luckowski, Eric D.; Melnick, Bradley M., Self-aligned magnetic clad write line and its method of formation.
  577. Huang Cheng H. (Hsin-Chu TWX) Lur Water (Taipei TWX), Self-aligned trenched contact (satc) process.
  578. DeBoer Scott Jeffrey ; Gealy F. Daniel ; Thakur Randhir P. S., Semiconductor circuit components and capacitors.
  579. DeBoer Scott Jeffrey ; Gealy F. Daniel ; Thakur Randhir P. S., Semiconductor circuit components and capacitors.
  580. Komiya Yoshio (Yokohama JPX), Semiconductor device.
  581. Tomio Iwasaki JP; Hiroshi Moriya JP; Hideo Miura JP; Shuji Ikeda JP, Semiconductor device.
  582. Gardner Mark I. ; Fulford H. Jim ; May Charles E. ; Hause Fred ; Kwong Dim-Lee, Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof.
  583. Kutsunai, Toshie; Hayashi, Shinichiro; Mikawa, Takumi; Judai, Yuji, Semiconductor device with oxygen diffusion barrier layer termed from composite nitride.
  584. Kawakubo Takashi,JPX ; Fukushima Noboru,JPX, Semiconductor integrated memory manufacturing method and device.
  585. Teraguchi Nobuaki,JPX, Semiconductor light-emitting device.
  586. Sugiyama Naoharu,JPX ; Tezuka Tsutomu,JPX ; Katoh Riichi,JPX ; Kurobe Atsushi,JPX ; Tanamoto Tetsufumi,JPX, Semiconductor memory device having multilayer group IV nanocrystal quantum dot floating gate and method of manufacturing the same.
  587. Lawandy Nabil M., Semiconductor nanocrystal display materials and display apparatus employing same.
  588. Morishita, Takashi; Matsui, Masahiro, Semiconductor substrate and its production method, semiconductor device comprising the same and its production method.
  589. Forbes Leonard ; Ahn Kie Y., Semiconductor-on-insulator memory cell with buried word and body lines.
  590. Tsukude Masaki (Hyogo JPX) Arimoto Kazutami (Hyogo JPX), Sense amplifier including MOS transistors having threshold voltages controlled dynamically in a semiconductor memory dev.
  591. Kalal, Peter J.; Quesada, Mark A., Sensors, methods of manufacture and sensing methods.
  592. Sherman Arthur, Sequential chemical vapor deposition.
  593. Sherman, Arthur, Sequential chemical vapor deposition.
  594. Li, Weimin, Sequential pulse deposition.
  595. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  596. Williams Norman (Newark CA), Showerhead for uniform distribution of process gas.
  597. Sasaki Nobuo (Kawasaki JPX) Ishigaki Toru (Kawasaki JPX), Signal processing device and a method for transmitting signal.
  598. Chang Robert Pang Heng ; Lauerhaas Jeffrey Michael ; Marks Tobin Jay ; Pernisz Udo C., Silica nanoparticles obtained from a method involving a direct current electric arc in an oxygen-containing atmosphere.
  599. Ahn Kie Y. ; Forbes Leonard, Silicon multi-chip module packaging with integrated passive components and method of making.
  600. Hurley Kelly T. ; Li Li ; Fazan Pierre ; Wu Zhiqiang, Silicon nitride deposition method for use in forming a memory cell dielectric.
  601. Imthurn George P. (San Diego CA) Walker Howard (San Diego CA), Silicon to sapphire bond.
  602. Noble Wendell P., Silicon-on-insulator islands and method for their formation.
  603. Fengyan Zhang ; Yanjun Ma ; Jer-Shen Maa ; Wei-Wei Zhuang ; Sheng Teng Hsu, Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same.
  604. Salerno Jack P. ; Zavracky Paul M. ; Spitzer Mark B. ; Dingle Brenda, Single crystal silicon arrayed devices with optical shield between transistor and substrate.
  605. Ahn Kie ; Forbes Leonard, Single electron MOSFET memory device and method.
  606. Ahn Kie Y. ; Forbes Leonard, Single electron resistor memory device and method for use thereof.
  607. Manning Monte (Kuna ID), Sixteen megabit static random access memory (SRAM) cell.
  608. Gruen Dieter M. ; Krauss Alan R. ; Erdemir Ali ; Bindal Cuma ; Zuiker Christopher D., Smooth diamond films as low friction, long wear surfaces.
  609. Saidi M. Yazid ; Barker Jeremy ; Koksbang Rene,DKX, Solid secondary lithium cell based on lithiated zirconium, titanium or hafnium oxide cathode material.
  610. Hong,Cheong M.; Chindalore,Gowrishankar L., Source side injection storage device with spacer gates and method therefor.
  611. Hong Gary (Hsin-Chu TWX), Split-gate flash memory cell.
  612. Bhattacharyya, Arup, Stable PD-SOI devices and methods.
  613. Saito Ryuichi (Tokyo JPX) Momma Naohiro (Hitachi JPX), Stacked MOS transistor flip-flop memory cell.
  614. Sugahara Kazuyuki (Hyogo JPX) Ajika Natsuo (Hyogo JPX) Ogawa Toshiaki (Hyogo JPX) Iwamatsu Toshiaki (Hyogo JPX) Ipposhi Takashi (Hyogo JPX), Stacked-type semiconductor device.
  615. Hefele Hermann L. (Augsburg DEX), Stencils having enhanced wear-resistance and methods of manufacturing the same.
  616. Kaushik, Vidya S.; Nguyen, Bich-Yen, Strontium nitride or strontium oxynitride gate dielectric.
  617. Ahn Kie Y. ; Forbes Leonard ; Cloud Eugene H., Structure and method for a high performance electronic packaging assembly.
  618. Ahn, Kie Y.; Forbes, Leonard; Cloud, Eugene H., Structure and method for a high-performance electronic packaging assembly.
  619. Ahn Kie Y. ; Forbes Leonard, Structure and method for dual gate oxide thicknesses.
  620. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  621. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  622. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  623. Gonzalez Fernando (Boise ID), Structure for cross coupled thin film transistors and static random access memory cell.
  624. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  625. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  626. Miyamoto, Matsutaro, Substrate processing apparatus.
  627. Xi Xiaoxing (Greenbelt MD) Doughty Chris (Washington DC) Venkatesan Thirumalai (Washington DC), Superconducting field effect devices with thin channel layer.
  628. Doppelhammer, Robert, Surface sealing showerhead for vapor deposition reactor having integrated flow diverters.
  629. Borden Peter G., System and method for measuring the microroughness of a surface of a substrate.
  630. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using disilazanes.
  631. Gruen, Dieter M.; McCauley, Thomas G.; Zhou, Dan; Krauss, Alan R., Tailoring nanocrystalline diamond film properties.
  632. Forbes Leonard (Corvallis OR), Technique for producing small islands of silicon on insulator.
  633. Van Buskirk Peter C. ; Russell Michael W., Ternary nitride-carbide barrier layers.
  634. Strutt Peter R. ; Kear Bernard H. ; Boland Ross F., Thermal spray method for the formation of nanostructured coatings.
  635. Koh,Won yong; Lee,Chun soo, Thin film forming method.
  636. Cabral ; Jr. Cyril (Ossining NY) Colgan Evan G. (Suffern NY) Grill Alfred (White Plains NY), Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum.
  637. Tue Nguyen, Three-dimensional showerhead apparatus.
  638. Bryan, Philip S.; Lambert, Patrick M.; Towers, Christine M.; Jarrold, Gregory S., Titanium activated hafnia and/or zirconia host phosphor containing a selected rare earth.
  639. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), Titanium activated hafnia and/or zirconia host phosphor containing indium.
  640. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), Titanium activated hafnia and/or zirconia host phosphor containing neodymium.
  641. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), Titanium activated hafnia and/or zirconia host phosphor containing scandium.
  642. Chen, San-Yuan; Hsieh, Wen-Feng; Ting, Chu-Chi, Titanium dioxide film co-doped with yttrium and erbium and method for producing the same.
  643. Basceri, Cem; Rhodes, Howard E.; Sandhu, Gurtej; Gealy, F. Daniel; Graettinger, Thomas M., Top electrode in a strongly oxidizing environment.
  644. Forbes, Leonard; Ahn, Kie Y., Transistor with variable electron affinity gate and methods of fabrication and use.
  645. Leonard Forbes ; Eugene H. Cloud ; Kie Y. Ahn, Transmission lines for CMOS integrated circuits.
  646. Manning Monte (Boise ID), Trench isolation method having a double polysilicon gate formed on mesas.
  647. Marcy ; 5th Henry O. ; Pedrotti Kenneth D. ; Pehlke David R. ; Seabury Charles W. ; Yao Jun J. ; Bartlett James L. ; Chang Mau Chung F. ; Mehrotra Deepak ; Tham J. L. Julian, Trimmable singleband and tunable multiband integrated oscillator using micro-electromechanical system (MEMS) technology.
  648. Friedenreich John P. ; Carstensen Robert K., Two-step nitride deposition.
  649. Klemperer, Walter G.; Lee, Jason; Mikalsen, Erik A.; Payne, David A., Ultrathin oxide films on semiconductors.
  650. Wang, Zhigang; Guo, Xin; He, Yue-Song, Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling.
  651. Halliyal, Arvind; Ramsbey, Mark T.; Zhang, Wei; Randolph, Mark W.; Cheung, Fred T. K., Use of high-K dielectric material in modified ONO structure for semiconductor devices.
  652. Halliyal, Arvind; Ramsbey, Mark T.; Chang, Kuo-Tung; Tripsas, Nicholas H.; Ogle, Robert B., Use of high-k dielectric materials in modified ONO structure for semiconductor devices.
  653. Saeki Hiroaki (Yamanashi JPX), Vacuum processing apparatus.
  654. Atwell David R. (Boise ID) Westmoreland Donald L. (Boise ID), Vapor delivery system for solid precursors and method regarding same.
  655. Ohashi Tadashi,JPX ; Chaki Katuhiro,JPX ; Xin Ping,JPX ; Fujii Tatsuo,JPX ; Iwata Katsuyuki,JPX ; Mitani Shinichi,JPX ; Honda Takaaki,JPX, Vapor deposition apparatus and method for forming thin film.
  656. Smith David C. (Los Alamos NM) Pattillo Stevan G. (Los Alamos NM) Laia ; Jr. Joseph R. (Los Alamos NM) Sattelberger Alfred P. (Los Alamos NM), Vapor deposition of thin films.
  657. Chindalore, Gowrishankar L.; Rao, Rajesh A.; Yater, Jane A., Variable gate bias for a reference transistor in a non-volatile memory.
  658. Chatterjee Pallab K. (Richardson TX) Shah Ashwin H. (Dallas TX), Vertical DRAM cell and method.
  659. Chatterjee Pallab K. (Richardson TX) Shah Ashwin H. (Dallas TX), Vertical DRAM cell and method.
  660. Lu Chih-Yuan (Hsin-chu TWX), Vertical DRAM cross point memory cell and fabrication method.
  661. Forbes, Leonard, Vertical NROM having a storage density of 1 bit per 1F2.
  662. Forbes, Leonard, Vertical NROM having a storage density of 1 bit per 1F2.
  663. Forbes Leonard, Vertical bipolar read access for low voltage memory cell.
  664. Leonard Forbes ; Kie Y. Ahn, Vertical gate transistors in pass transistor programmable logic arrays.
  665. Fitch Jon T. (Austin TX) Mazur Carlos A. (Austin TX) Witek Keith E. (Austin TX), Vertical transistor having an underlying gate electrode contact.
  666. Nishimura Tadashi (Hyogo JPX) Sugahara Kazukyuki (Hyogo JPX) Kusunori Shigeru (Hyogo JPX) Ohsaki Akihiko (Hyogo JPX), Vertical type MOS transistor and method of formation thereof.
  667. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  668. Tsipursky Semeon ; Dolinko Vladimir ; Psihogios Vasiliki ; Beall Gary W., Viscous carrier compositions, including gels, formed with an organic liquid carrier, a layered material: polymer complex, and a di-, and/or tri-valent cation.
  669. Patricia A. Tooley, Wire coating compositions.
  670. Forbes, Leonard, Write once read only memory employing charge trapping in insulators.
  671. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Rochester NY), X-ray intensifying screen containing hafnia phosphor.
  672. Bryan Philip S. (Webster NY) Lambert Patrick W. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phospher containing europium to reduce afterglo.
  673. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing erbium to reduce afterglow.
  674. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing gadolinium to reduce afterg.
  675. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing indium.
  676. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing lutetium to reduce afterglo.
  677. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing neodymium to reduce aftergl.
  678. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing samarium to reduce afterglo.
  679. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing scandium.
  680. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing thulium to reduce afterglow.
  681. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing ytterbium to reduce aftergl.
  682. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing yttrium to reduce afterglow.
  683. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphur containing holmium to reduce afterglow.
  684. Bryan Philip S. (Rochester NY) Lambert Patrick M. (Webster NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen with enhanced emission.
  685. Chu Chin C. (North Brunswick NJ), Zeolite catalysts modified with group IV A metals.
  686. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  687. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  688. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium silicon-oxynitride gate dielectric.
  689. Ahn,Kie Y.; Forbes,Leonard, Zr--Sn--Ti--O films.

이 특허를 인용한 특허 (18)

  1. Ahn, Kie Y.; Forbes, Leonard, Apparatus having a lanthanum-metal oxide semiconductor device.
  2. Marsh, Eugene P.; Atwell, David R., Chemical vaporizer for material deposition systems and associated methods.
  3. Marsh, Eugene P.; Atwell, David R., Chemical vaporizer for material deposition systems and associated methods.
  4. Jamison, Paul; Li, Juntao; Paruchuri, Vamsi; Vo, Tuan A.; Tsunoda, Takaaki; Shinde, Sanjay, Cyclical physical vapor deposition of dielectric layers.
  5. Lee, Sang In, Depositing material on fibrous textiles using atomic layer deposition for increasing rigidity and strength.
  6. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  7. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  8. Gealy, F. Daniel; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric structures.
  9. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Hybrid copper interconnect structure and method of fabricating same.
  10. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Hybrid copper interconnect structure and method of fabricating same.
  11. Hegde, Rama I., Method and structure for a large-grain high-K dielectric.
  12. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer.
  13. Sato, Tatsuya E.; Mahajani, Maitreyee, Methods for manufacturing high dielectric constant films.
  14. Ahn, Kie Y.; Forbes, Leonard, Methods of forming an insulating metal oxide.
  15. Ahn, Kie Y.; Forbes, Leonard, Methods of forming zirconium aluminum oxide.
  16. Fareed, Qhalid; Gaska, Remigijus; Shur, Michael, Methods of growing nitride-based film using varying pulses.
  17. Derderian, Garo J.; Westmoreland, Donald L.; Uhlenbrock, Stefan, Systems and methods for forming niobium and/or vanadium containing layers using atomic layer deposition.
  18. Ahn, Kie Y.; Forbes, Leonard, Titanium aluminum oxide films.

문의처: helpdesk@kisti.re.kr전화: 080-969-4114

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로