$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Atomic layer deposition and conversion

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/31
  • H01L-021/02
출원번호 UP-0137058 (2002-05-02)
등록번호 US-7589029 (2009-09-24)
발명자 / 주소
  • Derderian, Garo J.
  • Sandhu, Gurtej Singh
출원인 / 주소
  • Micron Technology, Inc.
대리인 / 주소
    Schwegman, Lundberg & Woessner, P.A.
인용정보 피인용 횟수 : 73  인용 특허 : 613

초록

A method for growing films for use in integrated circuits using atomic layer deposition and a subsequent converting step is described. In an embodiment, the subsequent converting step includes oxidizing a metal atomic layer to form a metal oxide layer. The atomic layer deposition and oxidation step

대표청구항

What is claimed is: 1. An atomic layer deposition method of forming a TaNTaSi thin film electrode on a layer including silicon, comprising: atomic layer depositing a thin metal film including tantalum on the layer including silicon; enclosing the layer including silicon with an activated nitrogen h

이 특허에 인용된 특허 (613)

  1. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., 4 F2 folded bit line DRAM cell structure having buried bit and word lines.
  2. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, 4 F2 folded bit line dram cell structure having buried bit and word lines.
  3. Burns ; Jr. Stuart Mcallister ; Hanafi Hussein Ibrahim ; Welser Jeffrey J. ; Kocon Waldemar Walter, 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation.
  4. Gurtej Sandhu ; Garo J. Derderian, ALD method to improve surface coverage.
  5. Sandhu, Gurtej; Derderian, Garo J., ALD method to improve surface coverage.
  6. Ahn,Kie Y.; Forbes,Leonard, ALD of amorphous lanthanide doped TiOfilms.
  7. Seabury Charles W. (Agoura CA) Kobrin Paul H. (Newbury Park CA) DeNatale Jeffrey F. (Thousand Oaks CA), Acoustic isolator having a high impedance layer of hafnium oxide.
  8. Flagan, Richard C.; Atwater, Harry A.; Ostraat, Michele L., Aerosol process for fabricating discontinuous floating gate microelectronic devices.
  9. Schulz Robert (Ste-Julie CAX) Van Neste Andre (Ste-Foy CAX) Boily Sabin (Montreal CAX) Jin Shize (Ste-Foy CAX), Alloys of Ti Ru Fe and O and use thereof for the manufacture of cathodes for the electrochemical synthesis of sodium chl.
  10. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices.
  11. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices using textured surface.
  12. Vaartstra, Brian A., Aluminum-containing material and atomic layer deposition methods.
  13. Ma Yanjun ; Ono Yoshi, Aluminum-doped zirconium dielectric film transistor structure and deposition method for same.
  14. Visokay, Mark R.; Colombo, Luigi; Rotondaro, Antonio L. P., Anneal sequence for high-κ film property optimization.
  15. Matijasevic, Vladimir; Kaplan, Todd, Apparatus and method for deposition of thin films.
  16. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  17. Sneh, Ofer; Seidel, Thomas E.; Galewski, Carl, Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition.
  18. Sandhu Gurtej S. ; Iyer Ravi ; Sharan Sujit, Apparatus and method to increase gas residence time in a reactor.
  19. Philip H. Campbell ; David J. Kubista, Apparatus and process of improving atomic layer deposition chamber performance.
  20. Gadgil, Prasad Narhar, Apparatus for atomic layer chemical vapor deposition.
  21. Dutta Arunava (Danvers) Dullea Leonard V. (Peabody) Dale Ernest A. (Hamilton MA), Apparatus for coating small solids.
  22. Deguchi Mikio (Itami JPX), Apparatus for producing semiconductor devices.
  23. Bhattacharyya, Arup, Asymmetric band-gap engineered nonvolatile memory device.
  24. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed.
  25. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited Zr-Sn-Ti-O films.
  26. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited Zr-Sn-Ti-O films using TiI.
  27. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited Zr-Sn-Ti-O films using TiI4.
  28. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrAlOdielectric layers including ZrAlO.
  29. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrTiOfilms.
  30. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  31. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited lanthanide doped TiOx dielectric films.
  32. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited nanolaminates of HfO/ZrOfilms as gate dielectrics.
  33. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics.
  34. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited zirconium silicon oxide films.
  35. Akram, Salman; Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection.
  36. Paranjpe,Ajit P.; Gopinath,Sanjay; Omstead,Thomas R.; Bubber,Randhir S.; Mao,Ming, Atomic layer deposition for fabricating thin films.
  37. Sandhu, Gurtej S., Atomic layer deposition method with point of use generated reactive gas species.
  38. Marsh, Eugene; Vaartstra, Brian; Castrovillo, Paul J.; Basceri, Cem; Derderian, Garo J.; Sandhu, Gurtej S., Atomic layer deposition methods.
  39. Jang,Chuck; Dong,Zhong; Chan,Vei Han; Chen,Ching Hwa, Atomic layer deposition of interpoly oxides in a non-volatile memory device.
  40. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  41. Sandhu, Gurtej; Doan, Trung T., Atomic layer doping apparatus and method.
  42. Sandhu, Gurtej; Doan, Trung T., Atomic layer doping apparatus and method.
  43. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  44. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  45. Brenda D. Kraus ; John T. Moore ; Scott J. DeBoer, Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  46. Kraus Brenda D. ; Moore John T. ; DeBoer Scott J., Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  47. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  48. Visokay, Mark Robert; Rotondaro, Antonio Luis Pacheco; Colombo, Luigi, Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing.
  49. Hong-Sik Jeong KR; Won-Suk Yang KR; Ki-Nam Kim KR, Bit line landing pad and borderless contact on bit line stud with etch stop layer and manufacturing method thereof.
  50. Yang, Won-Suk; Hwang, Yoo-Sang; Jeong, Hong-Sik; Kim, Ki-Nam, Bit line landing pad and borderless contact on bit line stud with localized etch stop layer and manufacturing method thereof.
  51. Yang, Won-Suk; Song, Sang-Ho; Jeong, Hong-Sik; Kim, Ki-Nam, Bit line pad and borderless contact on bit line stud with localized etch stop layer formed in an undermined region.
  52. Al-Shareef Husam N. ; DeBoer Scott J. ; Gealy Dan ; Thakur Randhir P. S., Boride electrodes and barriers for cell dielectrics.
  53. Al-Shareef Husam N. ; DeBoer Scott J. ; Gealy Dan ; Thakur Randhir P. S., Boride electrodes and barriers for cell dielectrics.
  54. Maiti Bikas ; Tobin Philip J. ; Mogab C. Joseph ; Hobbs Christopher ; Frisa Larry E.,DEX, CMOS semiconductor devices and method of formation.
  55. Sun Shi-Chung, CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET.
  56. Campbell, Philip H.; Carpenter, Craig M.; Dando, Ross S.; Hamer, Kevin T., CVD apparatuses and methods of forming a layer over a semiconductor substrate.
  57. Banerjee, Aditi; Wise, Rick L.; Crenshaw, Darius L., Capacitor and memory structure and method.
  58. Eldridge, Jerome M., Capacitor dielectric having perovskite-type crystalline structure.
  59. Garo J. Derderian ; Gurtej S. Sandhu, Capacitor fabrication methods and capacitor constructions.
  60. Hoffarth Joseph Gerard ; Lauffer John Matthew ; Mahmoud ; deceased Issa Said, Capacitor formed within printed circuit board.
  61. DeBoer, Scott Jeffrey; Al-Shareef, Husam N.; Thakur, Randhir P. S.; Gealy, Dan, Capacitor having tantalum oxynitride film and method for making same.
  62. Scott Jeffrey DeBoer ; Husam N. Al-Shareef ; Randhir P. S. Thakur ; Dan Gealy, Capacitor having tantalum oxynitride film and method for making same.
  63. Sang-don Nam KR; Jin-won Kim KR, Capacitor of semiconductor device.
  64. Ahn, Kie Y.; Forbes, Leonard, Capacitor structure forming methods.
  65. Husam N. Al-Shareef ; Scott Jeffrey DeBoer ; F. Daniel Gealy ; Randhir P. S. Thakur, Capacitor with conductively doped Si-Ge alloy electrode.
  66. Al-Shareef Husam N. ; DeBoer Scott Jeffrey ; Gealy F. Daniel ; Thakur Randhir P. S., Capacitors, methods of forming capacitors, and DRAM memory cells.
  67. Forbes, Leonard; Ahn, Kie Y., Carburized silicon gate insulators for integrated circuits.
  68. Champeau Eugene J., Catheter with thin film electrodes and method for making same.
  69. Ebbinghaus Bartley B. ; Van Konynenburg Richard A. ; Vance Eric R.,AUX ; Stewart Martin W.,AUX ; Jostsons Adam,AUX ; Allender Jeffrey S. ; Rankin David Thomas, Ceramic composition for immobilization of actinides.
  70. Lin Wen-Yi ; Speyer Robert F. ; Shrout Tom R. ; Hackenberger Wesley S., Ceramic compositions for microwave wireless communication.
  71. Mardian, Allen P.; Sandhu, Gurtej S., Chemical vapor deposition methods, and atomic layer deposition method.
  72. Wilk, Glen D., Chemical vapor deposition of silicate high dielectric constant materials.
  73. Anand Srinivasan ; Sujit Sharon ; Raj Narasimhan, Chemical vapor deposition process.
  74. Mahawili Imad (Sunnyvale CA), Chemical vapor deposition reactor and method of operation.
  75. Vaartstra, Brian A., Chemical vapor deposition systems including metal complexes with chelating O- and/or N-donor ligands.
  76. Sandhu Gurtej S. ; Fazan Pierre, Chemical vapor deposition using organometallic precursors.
  77. Noble Wendell P. ; Forbes Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  78. Noble, Wendell P.; Forbes, Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  79. Forbes Leonard ; Noble Wendell P., Circuit and method for an open bit line memory cell with a vertical transistor and trench plate trench capacitor.
  80. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  81. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  82. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  83. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  84. Forbes Leonard ; Geusic Joseph E. ; Ahn Kie Y., Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same.
  85. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Coaxial integrated circuitry interconnect lines, and integrated circuitry.
  86. Ahn, Kie Y.; Forbes, Leonard, Composite dielectric forming methods and composite dielectrics.
  87. Cogliano Joseph A. (Pasadena MD), Composition and method of controlling solid polyurethane particle size with water reactant.
  88. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Rochester NY), Composition containing a hafnia phosphor of enhanced luminescence.
  89. Srividya, Cancheepuram V.; Gealy, F. Daniel; Graettinger, Thomas M., Comprising agglomerates of one or more noble metals.
  90. Basceri, Cem; Sandhu, Gurtej, Conductive semiconductor structures containing metal oxide regions.
  91. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  92. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  93. Chen, Tung-Yu; Lai, Han-Chung, Contact structure and manufacturing method thereof.
  94. Bunshah Rointan F. (Playa del Rey CA) Deshpandey Chandra V. (Los Angeles CA) Doerr Hans J. (Westlake Village CA) Yoon Jong S. (Northridge CA), Controlled high rate deposition of metal oxide films.
  95. Farrar,Paul A.; Eldridge,Jerome M., Controlling diffusion in doped semiconductor regions.
  96. Subramanian, Ramesh; Keyser, Mercedes, Cooling structure and method of manufacturing the same.
  97. Ahn, Kie Y.; Forbes, Leonard, Copper technology for ULSI metallization.
  98. Wong Manus K. (San Jose CA) Chew Sandy M. (San Jose CA), Corrosion-resistant apparatus.
  99. Wood Louis L. (Rockville MD) Frisch Kurt C. (Grosse Ile MI), Crosslinked hydrophilic foams and method.
  100. Forbes Leonard ; Ahn Kie Y., Current mode signal interconnects and CMOS amplifier.
  101. Chatterjee Pallab K. (Richardson TX) Malhi Satwinder (Garland TX) Richardson William F. (Richardson TX), DRAM Cell with trench capacitor and vertical channel in substrate.
  102. Forbes, Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  103. Forbes, Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  104. Forbes,Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  105. Derderian, Garo J.; Sandhu, Gurtej S., Deposition methods.
  106. Cabral, Jr.,Cyril; Callegari,Alessandro C.; Gribelyuk,Michael A.; Jamison,Paul C.; Lacey,Dianne L.; McFeely,Fenton R.; Narayanan,Vijay; Neumayer,Deborah A.; Ranade,Pushkar; Zafar,Sufi, Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures.
  107. Moon Jong (Suwon KRX), Device and manufacturing method for a ferroelectric memory.
  108. Kiyoshi Nikawa JP, Device and method for nondestructive inspection on semiconductor device.
  109. Jeng Guang-kai David (North Plainfield NJ) Mitchell James Winfield (Somerset NJ), Devices comprising films of b3N4 .
  110. Marsh, Eugene P., Devices containing zirconium-platinum-containing materials and methods for preparing such materials and devices.
  111. Ahn,Kie Y.; Forbes,Leonard, Devices with HfSiON dielectric films which are Hf-O rich.
  112. Anthony Thomas C. (Sunnyvale CA), Dielectric films for use in magnetoresistive transducers.
  113. Lee, Jongho; Lee, Nae-In, Dielectric layer for semiconductor device and method of manufacturing the same.
  114. Marsh,Eugene P., Dielectric material forming methods.
  115. Schneemeyer Lynn Frances ; van Dover Robert Bruce, Dielectric materials of amorphous compositions and devices employing same.
  116. VanDover Robert Bruce, Dielectric materials of amorphous compositions of TI-O.sub.2 doped with rare earth elements and devices employing same.
  117. Leonard Forbes ; Wendell P. Noble ; Kie Y. Ahn, Discrete devices including EAPROM transistor and NVRAM memory cell with edge defined ferroelectric capacitance, methods for operating same, and apparatuses including same.
  118. Chuman, Takashi; Yoshikawa, Takamasa; Hata, Takuya; Sakemura, Kazuto; Yamada, Takashi; Negishi, Nobuyasu; Iwasaki, Shingo; Satoh, Hideo; Yoshizawa, Atsushi; Ogasawara, Kiyohide, Display device of flat panel structure with emission devices of matrix array.
  119. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  120. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  121. Ma Yanjun ; Ono Yoshi, Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same.
  122. Teng Clarence W. (Plano TX) Chen Cheng-Eng D. (Richardson TX) Mao Bor-Yen (Richardson TX), Dram cell and method.
  123. Fally Jacques,FRX, Dynamic distance and position sensor and method of measuring the distance and the position of a surface using a sensor.
  124. Forbes Leonard ; Ahn Kie Y. ; Noble Wendell P. ; Reinberg Alan R., Dynamic random access memory (DRAM) cells with repressed ferroelectric memory methods of reading same, and apparatuses including same.
  125. Gonzales Fernando (Boise ID), Dynamic random access memory array having a cross-point layout, tungsten digit lines buried in the substrate, and vertic.
  126. Graettinger, Thomas M.; Gealy, F. Daniel, Electrical contact for high dielectric constant capacitors and method for fabricating the same.
  127. Thomas M. Graettinger ; F. Daniel Gealy, Electrical contact for high dielectric constant capacitors and method for fabricating the same.
  128. Kock Wulf (Markdorf DEX), Electrically conductive ceramic material.
  129. Sung-Mu Hsu (I-Lan TWX), Electrically programmable memory device with improved dual floating gates.
  130. Buynoski Matthew S. ; Besser Paul R. ; Xang Qi ; King Paul L. ; Paton Eric N., Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  131. Kazuto Sakemura JP; Shuuichi Yanagisawa JP; Shingo Iwasaki JP; Nobuyasu Negishi JP; Takashi Chuman JP; Takashi Yamada JP; Atsushi Yoshizawa JP; Hideo Satoh JP; Takamasa Yoshikawa JP; Kiyohid, Electron emission device and display apparatus using the same.
  132. Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX, Electron emission device and display device for emitting electrons in response to an applied electric field using the e.
  133. Chuman Takashi,JPX ; Iwasaki Shingo,JPX, Electron emission device and display device using the same.
  134. Ito Hiroshi,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  135. Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Ito Hiroshi,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  136. Negishi Nobuyasu,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Ogasawara Kiyohide,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  137. Takashi Yamada JP; Kiyohide Ogasawara JP; Takamasa Yoshikawa JP; Takashi Chuman JP; Nobuyasu Negishi JP; Shingo Iwasaki JP; Hiroshi Ito JP; Atsushi Yoshizawa JP; Shuuichi Yanagisawa JP; Kazu, Electron emission device and display device using the same.
  138. Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  139. Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  140. Yoshikawa Takamasa,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX ; Yamaguchi Masataka,JPX ; Iwasaki Shingo,JPX ; Negishi Nobuyasu,JPX ; Chuman Takashi,JPX, Electron emission device and display device using the same.
  141. Yoshizawa Atsushi,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  142. Negishi Nobuyasu,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Ogasawara Kiyohide,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX, Electron emission device and display using the same.
  143. Yoshikawa Takamasa,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display using the same.
  144. Nobuyasu Negishi JP; Takuya Hata JP; Atsushi Yoshizawa JP; Hideo Satoh JP; Takashi Yamada JP; Takashi Chuman JP; Shingo Iwasaki JP; Takamasa Yoshikawa JP; Hiroshi Ito JP; Kiyohide Ogasawara , Electron emission device with electron supply layer having reduced resistance.
  145. Negishi Nobuyasu,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device with electron supply layer of hydrogenated amorphous silicon.
  146. Yamada Takashi,JPX ; Yoshizawa Atsushi,JPX ; Hata Takuya,JPX ; Iwasaki Shingo,JPX ; Negishi Nobuyasu,JPX ; Chuman Takashi,JPX ; Satoh Hideo,JPX ; Ito Hiroshi,JPX ; Yoshikawa Takamasa,JPX ; Ogasawara , Electron emission device with specific island-like regions.
  147. Atsushi Yoshizawa JP; Hideo Satoh JP; Takashi Yamada JP; Takashi Chuman JP; Nobuyasu Negishi JP; Shingo Iwasaki JP; Takuya Hata JP; Takamasa Yoshikawa JP; Hiroshi Ito JP; Kiyohide Ogasawara , Electron emission light-emitting device and display apparatus using the same.
  148. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  149. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  150. Kashihara Keiichiro (Hyogo JPX) Okudaira Tomonori (Hyogo JPX) Itoh Hiromi (Hyogo JPX), Electronic device using zirconate titanate and barium titanate ferroelectrics in insulating layer.
  151. Bailey John M. (Dunlap IL) Towe Carey A. (Peoria IL) Shafer Scott F. (Peoria IL) Blanco Michael M. (Peoria IL), Encapsulated heating filament for glow plug.
  152. Bojarczuk, Jr., Nestor A.; Cartier, Eduard A.; Guha, Supratik, Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique.
  153. Matthew S. Buynoski ; Paul R. Besser ; Paul L. King ; Eric N. Paton ; Qi Xiang, Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  154. Ahn, Kiey Y.; Forbes, Leonard, Evaporated LaA1O3 films for gate dielectrics.
  155. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-K dielectrics.
  156. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-k dielectrics.
  157. Er-Xuan Ping, Even nucleation between silicon and oxide surfaces for thin silicon nitride film growth.
  158. Cleary Thomas J. ; Wing James C., Exclusion of polymer film from semiconductor wafer edge and backside during film (CVD) deposition.
  159. Scobey Michael A. ; Zhang Xinxiong, External cavity semiconductor laser with monolithic prism assembly.
  160. Tai-Ju Chen TW; Hua-Chou Tseng TW, Fabrication of a shallow trench isolation by plasma oxidation.
  161. Ahn, Kie Y.; Forbes, Leonard, Field emission display having porous silicon dioxide layer.
  162. Ahn, Kie Y.; Forbes, Leonard, Field emission display having reduced power requirements and method.
  163. Noble Wendell P. ; Forbes Leonard, Field programmable logic arrays with vertical transistors.
  164. Noble, Wendell P.; Forbes, Leonard, Field programmable logic arrays with vertical transistors.
  165. Wendell P. Noble ; Leonard Forbes, Field programmable logic arrays with vertical transistors.
  166. Sandhu, Gurtej; Derderian, Garo J., Film composition.
  167. Forbes,Leonard; Eldridge,Jerome M., Flash memory with low tunnel barrier interpoly insulators.
  168. Yanagisawa Shuuchi,JPX ; Yoshikawa Takamasa,JPX ; Sakemura Kazuto,JPX ; Yoshizawa Atsushi,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Yamada Takashi,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ;, Flat panel display apparatus with an array of electron emitting devices.
  169. Chuman, Takashi; Yoshikawa, Takamasa; Hata, Takuya; Sakemura, Kazuto; Yamada, Takashi; Negishi, Nobuyasu; Iwasaki, Shingo; Satoh, Hideo; Yoshizawa, Atsushi; Ogasawara, Kiyohide, Flat panel display device utilizing electron emission devices.
  170. Andricacos Panayotis Constantinou ; Datta Madhav ; Deligianni Hariklia ; Horkans Wilma Jean ; Kang Sung Kwon ; Kwietniak Keith Thomas ; Mathad Gangadhara Swami ; Purushothaman Sampath ; Shi Leathen ;, Flip-Chip interconnections using lead-free solders.
  171. Faraone Lorenzo (Belle Mead NJ), Floating gate memory device with facing asperities on floating and control gates.
  172. Srinivasan Anand, Flowable germanium doped silicate glass for use as a spacer oxide.
  173. Yu, Bin; Wu, David, Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation.
  174. Ahn, Kie Y.; Forbes, Leonard, Formation of metal oxide gate dielectric.
  175. Kie Y. Ahn ; Leonard Forbes, Formation of metal oxide gate dielectric.
  176. Andrew T. Hunt ; Wen-Yi Lin ; Tzyy Jiuan Hwang ; Michelle Hendrick ; Helmut G. Hornis, Formation of thin film capacitors.
  177. Hunt Andrew T. ; Hwang Tzyy Jiuan ; Hornis Helmut G. ; Lin Wen-Yi, Formation of thin film capacitors.
  178. Hunt, Andrew T.; Hwang, Tzyy Jiuan; Hornis, Helmut G.; Lin, Wen-Yi, Formation of thin film capacitors.
  179. Hunt Andrew T. ; Flanagan John S. ; Neuman George A., Formation of this film capacitors.
  180. Brask,Justin K.; Kavalieros,Jack; Doczy,Mark L.; Metz,Matthew V.; Datta,Suman; Shah,Uday; Dewey,Gilbert; Chau,Robert S., Forming high-k dielectric layers on smooth substrates.
  181. Seung Yoon Yang KR; In Jae Park KR; Jong Woo Yoon KR; Chang Jae Kim KR; Tanigawa Eiki JP, Gas injection system for chemical vapor deposition device.
  182. Rigby Leslie J. (Bishops Stortford GB2), Gas sensor.
  183. Ahn, Kie Y.; Forbes, Leonard, Gate oxides, and methods of forming.
  184. Ayako Shindo JP, Glass ceramic and temperature compensating member.
  185. Forbes, Leonard; Eldridge, Jerome M., Graded composition gate insulators to reduce tunneling barriers in flash memory devices.
  186. Forbes, Leonard; Eldridge, Jerome M., Graded composition gate insulators to reduce tunneling barriers in flash memory devices.
  187. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Graded composition metal oxide tunnel barrier interpoly insulators.
  188. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Graded composition metal oxide tunnel barrier interpoly insulators.
  189. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Graded thin films.
  190. Lee Shuit-Tong,HKX ; Wang Ning,HKX ; Lee Chun-Sing,HKX ; Bello Igor,HKX, Growth method for silicon nanowires and nanoparticle chains from silicon monoxide.
  191. Wilk, Glen D.; Wallace, Robert M., Hafnium nitride gate dielectric.
  192. Paul M. Whitcher ; Robert P. Wierzbicki ; Maurice Valois ; David R. Cultice, Handheld computer system.
  193. Towe Carey A. (Peoria IL) Bailey John M. (Dunlap IL) Shafer Scott F. (Peoria IL) Blanco Michael (Peoria IL), Heating element assembly for glow plug.
  194. Kaushik, Vidya S.; Nguyen, Bich-yen; Pietambaram, Srinivas V.; Schaeffer, III, James Kenyon, High K dielectric film.
  195. Nguyen, Bich-Yen; Zhou, Hong-Wei; Wang, Xiao-Ping, High K dielectric film.
  196. Vu Duy-Phach ; Dingle Brenda ; Cheong Ngwe, High density electronic circuit modules.
  197. Forbes Leonard ; Noble Wendell P., High density flash memory.
  198. Minghwei Hong ; Ahmet Refik Kortan ; Jueinai Raynien Kwo ; Joseph Petrus Mannaerts, High dielectric constant gate oxides for silicon-based devices.
  199. Parsons, Gregory N.; Chambers, James J.; Kelly, M. Jason, High dielectric constant metal silicates formed by controlled metal-surface reactions.
  200. Thomas Michael E. (Cupertino CA) Chinn Jeffrey D. (Foster City CA), High performance interconnect system for an integrated circuit.
  201. Forbes, Leonard; Ahn, Kie Y., High performance silicon contact for flip chip.
  202. Akram,Salman; Ahn,Kie Y.; Forbes,Leonard, High permeability layered magnetic films to reduce noise in high speed interconnection.
  203. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability thin films and patterned thin films to reduce noise in high speed interconnections.
  204. Thomas Michael E. (Cupertino CA), High temperature interconnect system for an integrated circuit.
  205. Colombo, Luigi; Chambers, James J.; Rotondaro, Antonio L. P.; Visokay, Mark R., High temperature interface layer growth for high-k gate dielectric.
  206. Rufin Antonio C. (Seattle WA) Westre Willard N. (Bellevue WA), High temperature low thermal expansion fastener.
  207. Hsieh Chang-Ming (Fishkill NY) Hsu Louis L. C. (Fishkill NY) Ogura Seiki (Hopewell Junction NY), High-density DRAM structure on soi.
  208. Jacobson, Joseph M.; Hubert, Brian N.; Ridley, Brent, High-density mechanical memory and turing machine.
  209. Ahn, Kie Y.; Forbes, Leonard, High-quality praseodymium gate dielectrics.
  210. Park,Dae Gyu; Gluschenkov,Oleg G.; Gribelyuk,Michael A.; Wong,Kwong Hon, High-temperature stable gate structure with metallic electrode.
  211. Ahn, Kie Y.; Forbes, Leonard, Highly reliable amorphous high-k gate dielectric ZrOXNY.
  212. Ahn, Kie Y.; Forbes, Leonard, Highly reliable gate oxide and method of fabrication.
  213. Subramanian, Ramesh, Honeycomb structure thermal barrier coating.
  214. Lee Seaung Suk,KRX ; Kim Ho Gi,KRX ; Kim Jong Choul,KRX ; Choi Soo Han,KRX, Hot-wall CVD method for forming a ferroelectric film.
  215. Chhabra Navjot (Boise ID) Gibbons Loyal (Boise ID), Hydrofluoric acid etcher and cascade rinser.
  216. Yoshikawa, Takamasa; Satoh, Hideo; Yoshizawa, Atsushi; Yamada, Takashi; Chuman, Takashi; Negishi, Nobuyasu; Iwasaki, Shingo; Sakemura, Kazuto; Hata, Takuya; Ogasawara, Kiyohide, Image pickup device including electron-emitting devices.
  217. Forbes,Leonard, In service programmable logic arrays with low tunnel barrier interpoly insulators.
  218. Forbes Leonard ; Geusic Joseph E., Information handling system having improved floating gate tunneling devices.
  219. Sarigiannis, Demetrius; Meng, Shuang; Derderian, Garo J., Insitu post atomic layer deposition destruction of active species.
  220. Lee Ruojia (Boise ID) Gonzalez Fernando (Boise ID), Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance.
  221. Howard E. Rhodes ; Mark Visokay ; Tom Graettinger ; Dan Gealy ; Gurtej Sandhu ; Cem Basceri ; Steve Cummings, Integrated capacitors fabricated with conductive metal oxides.
  222. Rhodes, Howard E.; Visokay, Mark; Graettinger, Tom; Gealy, Dan; Sandhu, Gurtej; Basceri, Cem; Cummings, Steve, Integrated capacitors fabricated with conductive metal oxides.
  223. Moise Theodore S. ; Xing Guoqiang ; Visokay Mark ; Gaynor Justin F. ; Gilbert Stephen R. ; Celii Francis ; Summerfelt Scott R. ; Colombo Luigi, Integrated circuit and method.
  224. Tsu Robert ; Asano Isamu,JPX ; Iijima Shinpei,JPX ; McKee William R., Integrated circuit capacitor.
  225. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Integrated circuit memory device and method.
  226. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same.
  227. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  228. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  229. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  230. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  231. Ahn, Kie Y.; Forbes, Leonard, Integrated decoupling capacitors.
  232. Jeon, Joong S.; Halliyal, Arvind, Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices.
  233. Arne W. Ballantine ; Douglas A. Buchanan ; Eduard A. Cartier ; Kevin K. Chan ; Matthew W. Copel ; Christopher P. D'Emic ; Evgeni P. Gousev ; Fenton Read McFeely ; Joseph S. Newbury ; Harald , Interfacial oxidation process for high-k gate dielectric process integration.
  234. Vyvoda, Michael A.; Herner, S. Brad; Petti, Christopher J.; Walker, Andrew J., Inverted staggered thin film transistor with salicided source/drain structures and method of making same.
  235. Wagner, Sigurd; Chen, Yu, Inverter made of complementary p and n channel transistors using a single directly-deposited microcrystalline silicon film.
  236. Ahn,Kie Y.; Forbes,Leonard, Iridium/zirconium oxide structure.
  237. Glass Thomas R. ; Schofield Kevin H., Irradiation mask.
  238. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  239. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  240. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films by plasma oxidation.
  241. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films.
  242. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films by plasma oxidation.
  243. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  244. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  245. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectrics.
  246. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide dielectric layer.
  247. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide/hafnium oxide dielectrics.
  248. Ahn,Kie; Forbes,Leonard, Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics.
  249. Glassman Timothy E. (Danbury CT) Chayka Paul V. (New Milford CT), Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films.
  250. Ahn,Kie Y.; Forbes,Leonard, Lanthanum aluminum oxynitride dielectric films.
  251. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  252. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  253. Maria, Jon-Paul; Kingon, Angus Ian, Lanthanum oxide-based dielectrics for integrated circuit capacitors.
  254. Maria, Jon-Paul; Kingon, Angus Ian, Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors.
  255. Geusic, Joseph E., Low temperature silicon wafer bond process with bulk material bond strength.
  256. Joseph E. Geusic, Low temperature silicon wafer bond process with bulk material bond strength.
  257. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics.
  258. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics.
  259. Ahn,Kie Y.; Forbes,Leonard, Low-temperature growth high-quality ultra-thin praseodymium gate dieletrics.
  260. Cho, Hag-ju, METHODS OF MANUFACTURING INTEGRATED CIRCUIT DEVICES THAT INCLUDE A METAL OXIDE LAYER DISPOSED ON ANOTHER LAYER TO PROTECT THE OTHER LAYER FROM DIFFUSION OF IMPURITIES AND INTEGRATED CIRCUIT DEVICES M.
  261. Manthiram Arumugam ; Kim Jaekook, Manganese oxyiodides and their method of preparation and use in energy storage.
  262. Sywyk Stefan P., Memory access method and apparatus and multi-plane memory device with prefetch.
  263. Leonard Forbes ; Kie Y. Ahn, Memory address and decode circuits with ultra thin body transistors.
  264. Forbes Leonard ; Noble Wendell P., Memory address decode array with vertical transistors.
  265. Leonard Forbes ; Wendell P. Noble, Memory address decode array with vertical transistors.
  266. Gonzalez, Fernando, Memory cell arrays.
  267. Evans ; Jr. Joseph T. (Albuquerque NM) Bullington Jeff A. (Albuquerque NM), Memory cell based on ferro-electric non volatile variable resistive element.
  268. Stewart Roger G. (Hillsborough Township ; Somerset County NJ) Ipri Alfred C. (Hopewell Township ; Mercer County NJ) Napoli Louis S. (Hamilton Township ; Mercer County NJ), Memory cell for a dense EPROM.
  269. Noble Wendell P. ; Forbes Leonard ; Ahn Kie Y., Memory cell having a vertical transistor with buried source/drain and dual gates.
  270. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., Memory cell having a vertical transistor with buried source/drain and dual gates.
  271. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, Memory cell having a vertical transistor with buried source/drain and dual gates.
  272. Sandhu, Sukesh; Gealy, Dan; Sandhu, Gurtej Singh, Memory cell with tight coupling.
  273. Forbes Leonard ; Noble Wendell P. ; Ahn Kie Y., Memory cell with vertical transistor and buried word and body lines.
  274. Leonard Forbes ; Wendell P. Noble ; Kie Y. Ahn, Memory cell with vertical transistor and buried word and body lines.
  275. Aronowitz,Sheldon; Zubkov,Vladimir; Sun,Grace S., Memory device having an electron trapping layer in a high-K dielectric gate stack.
  276. Muralidhar Ramachandran ; Subramanian Chitra K. ; Madhukar Sucharita ; White Bruce E. ; Sadd Michael A. ; Zafar Sufi ; O'Meara David L. ; Nguyen Bich-Yen, Memory device that includes passivated nanoclusters and method for manufacture.
  277. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide nanolaminates.
  278. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide-conductor nanolaminates.
  279. Hentges, Patrick J.; Greene, Laura H.; Pafford, Margaret Mary; Westwood, Glenn; Klemperer, Walter G., Metal alkoxides and methods of making same.
  280. Kirlin Peter S. ; Brown Duncan W. ; Baum Thomas H. ; Vaarstra Brian A. ; Gardiner Robin A., Metal complex source reagents for chemical vapor deposition.
  281. Brian A. Vaartstra, Metal complexes with chelating O-and/or N-donor ligands.
  282. Sam Yang ; Vishnu K. Agarwal, Metal oxynitride capacitor barrier layer.
  283. Forbes,Leonard; Farrar,Paul A.; Ahn,Kie Y., Metal-substituted transistor gates.
  284. Bloomquist Douglas D. ; Buchheit Rudy ; Greenly John B. ; McIntyre Dale C. ; Neau Eugene L. ; Stinnett Regan W., Method and apparatus for altering material using ion beams.
  285. Jiang Tongbi ; Li Li, Method and apparatus for electroless plating a contact pad.
  286. Venkataranan Shankar ; Hendrickson Scott ; Shmurun Inna ; Nguyen Son T., Method and apparatus for processing semiconductive wafers.
  287. Dunham Scott William, Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes.
  288. Gealy F. Daniel ; Chapek Dave ; DeBoer Scott ; Al-Shareef Husam N. ; Thakur Randhir, Method and apparatus for stabilizing high pressure oxidation of a semiconductor device.
  289. Ahn, Kie Y.; Forbes, Leonard, Method and apparatus for the fabrication of ferroelectric films.
  290. Kie Y. Ahn ; Leonard Forbes, Method and apparatus for the fabrication of ferroelectric films.
  291. Bauer Mark E. ; Wells Steven ; Brown David M. ; Javanifard Johnny ; Sweha Sherif ; Hasbun Robert N. ; Gallagher Gary J. ; Rashid Mamun ; Rozman Rodney R. ; Hawk Glen ; Blanchard George ; Winston Mark, Method and circuitry for usage of partially functional nonvolatile memory.
  292. Wang,Ming Fang; Chen,Chia Lin; Yang,Chih Wei; Chen,Chi Chun; Hou,Tuo Hung; Lin,Yeou Ming; Yao,Liang Gi; Chen,Shih Chang, Method and structure for forming high-k gates.
  293. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  294. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  295. Gardner Mark I. ; Nistler John L. ; May Charles E., Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices.
  296. Sharan Sujit ; Sandhu Gurtej S., Method for PECVD deposition of selected material films.
  297. Thakur Randhir P. S., Method for cleaning semiconductor wafers.
  298. Thakur Randhir P.S., Method for cleaning semiconductor wafers and.
  299. Basceri, Cem; Gealy, Dan; Sandhu, Gurtej S., Method for controlling deposition of dielectric films.
  300. Farrar,Paul A.; Eldridge,Jerome M., Method for controlling diffusion in semiconductor regions.
  301. Noble Wendell P., Method for coupling to semiconductor device in an integrated circuit having edge-defined, sub-lithographic conductors.
  302. Chang, Jane; Lin, You-Sheng; Kepten, Avishai; Sendler, Michael; Levy, Sagy; Bloom, Robin, Method for depositing a coating having a relatively high dielectric constant onto a substrate.
  303. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method for depositing a nanolaminate film by atomic layer deposition.
  304. Rolfson J. Brett, Method for depositing doped amorphous or polycrystalline silicon on a substrate.
  305. Forrest Stephen R. ; Bulovic Vladimir ; Burrows Paul, Method for deposition and patterning of organic thin film.
  306. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  307. Eugene P. Marsh, Method for fabricating an SrRuO3 film.
  308. Kim, Younsoo, Method for fabricating metal electrode with atomic layer deposition (ALD) in semiconductor device.
  309. Dalal Hormazdyar M. (Wappingers Falls NY) Ghafghaichi Majid (Poughkeepsie NY) Kasprzak Lucian A. (Hopewell Junction NY) Wimpfheimer Hans (Poughkeepsie NY), Method for fabricating tantalum semiconductor contacts.
  310. Messing, Gary L.; Kwon, Songtae; Sabolsky, Edward M., Method for fabrication of lead-based perovskite materials.
  311. Tarui Yasuo (No. 6-4 ; Minamisawa 5-chome Higashikurume City ; Tokyo JPX) Soutome Yoshihiro (Osaka JPX) Morita Shinichi (Yokosuka JPX) Tanimoto Satoshi (Tokyo JPX), Method for ferroelectric thin film production.
  312. Choi, Sung-Je, Method for forming a dielectric layer of a semiconductor device.
  313. Park Dong Su,KRX, Method for forming a gate insulating film for semiconductor devices.
  314. Vidya S. Kaushik, Method for forming a high dielectric constant material.
  315. Agarwal, Vishnu K.; Derderian, Garo J.; Gealy, F. Daniel, Method for forming a multilayer electrode for a ferroelectric capacitor.
  316. Trivedi, Jigish D., Method for forming a notched damascene planar poly/metal gate.
  317. Forbes, Leonard, Method for forming a programmable decoder with vertical transistors.
  318. Allen David H. (Boise ID), Method for forming a shielding structure for decoupling signal traces in a semiconductor.
  319. Geusic Joseph E. ; Forbes Leonard ; Ahn Kie Y., Method for forming high capacitance memory cells.
  320. Maiti Bikas ; Tobin Philip J. ; Hegde Rama I. ; Cuellar Jesus, Method for forming high dielectric constant metal oxides.
  321. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method for forming integrated circuits using high aspect ratio vias through a semiconductor wafer.
  322. Choi, Eun-Seok, Method for forming metal films.
  323. Jong-myeong Lee KR; Hyun-seok Lim KR; Byung-hee Kim KR; Gil-heyun Choi KR; Sang-in Lee KR, Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby.
  324. Yun-sook Chae KR; Sang-bom Kang KR; Gil-heyun Choi KR; In-sang Jeon KR, Method for forming metal layer of semiconductor device using metal halide gas.
  325. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  326. Vaartstra Brian A., Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands.
  327. Yano Yoshihiko,JPX ; Noguchi Takao,JPX ; Nagano Katsuto,JPX, Method for forming oxide thin film and the treatment of silicon substrate.
  328. Yang, Haining; Sandhu, Gurtej S., Method for forming platinum-rhodium stack as an oxygen barrier.
  329. Cho, Ho Jin, Method for forming polyatomic layers.
  330. Kim Ki Bum,KRX ; Yoon Tae Sik,KRX ; Kwon Jang Yeon,KRX, Method for forming quantum dot in semiconductor device and a semiconductor device resulting therefrom.
  331. Park Bo Hyun,KRX, Method for forming shallow junction for semiconductor device.
  332. Ahn, Kie Y.; Forbes, Leonard, Method for forming single electron resistor memory.
  333. Zavracky Paul M. (Norwood MA) Zavracky Matthew (Attleboro MA) Vu Duy-Phach (Taunton MA) Dingle Brenda (Mansfield MA), Method for forming three dimensional processor using transferred thin film circuits.
  334. Ritala, Mikko; Rahtu, Antti; Leskela, Markku; Kukli, Kaupo, Method for growing thin oxide films.
  335. Ruff, Alexander; Kegel, Wilhelm; Karcher, Wolfram; Schrems, Martin, Method for increasing the capacitance in a storage trench.
  336. Ahn, Kie Y.; Forbes, Leonard, Method for making a ferroelectric memory transistor.
  337. David Christopher Gilmer, Method for making a hafnium-based insulating film.
  338. Feenstra Roeland ; Christen David ; Paranthaman Mariappan, Method for making high-critical-current-density YBa.sub.2 Cu.sub.3 O.sub.7 superconducting layers on metallic substrate.
  339. Klinedinst Keith A. (Marlboro MA) Gary Richard A. (Everett MA) Lichtensteiger Silvia E. (Acton MA), Method for making moisture insensitive zinc sulfide based luminescent materials.
  340. Christopher C. Hobbs ; Baohong Cheng ; Lurae G. Dip, Method for making semiconductor device.
  341. Bhattacharyya Arup (Essex Junction VT) Chu Wei-Kan (Poughkeepsie NY) Howard James K. (Fishkill NY) Wiedman Francis W. (Stowe VT), Method for manufacture of ultra-thin film capacitor.
  342. Hong Gary,TWX, Method for manufacturing DRAM capacitor.
  343. Iwaki,Takashi; Tsukamoto,Takeo, Method for manufacturing carbon fibers and electron emitting device using the same.
  344. Tatsuro Maeda JP, Method for manufacturing self-matching transistor.
  345. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  346. Thakur, Randhir P. S.; Weimer, Ronald A., Method for processing wafers in a semiconductor fabrication system.
  347. Stecher Matthias,AUX ; Gutheit Tim,DEX ; Schwetlick Werner,DEX, Method for producing bridged doped zones.
  348. Watanabe Yoshitane,JPX ; Suzuki Keitaro,JPX ; Koyama Yoshinari,JPX ; Iijima Motoko,JPX, Method for producing composite sol, coating composition, and optical element.
  349. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  350. Yu, Kathleen C.; Travis, Edward O.; Smith, Bradley P., Method for providing a dummy feature and structure thereof.
  351. Kawai Motochika (Fujisawa JA) Shimozawa Izuru (Odawara JA), Method for reinforcing aqueous hydraulic cement.
  352. White Ted R. (Austin TX) Klein Jeff L. (Austin TX), Method for selectively depositing tungsten on a substrate by using a spin-on metal oxide.
  353. Gruen Dieter M. ; Krauss Alan R., Method for the preparation of nanocrystalline diamond thin films.
  354. Wilk Glen D. ; Wei Yi ; Wallace Robert M., Method for thin film deposition on single-crystal semiconductor substrates.
  355. Van Wijck, Margreet Albertine Anne-Marie, Method for vapour deposition of a film onto a substrate.
  356. Ahn,Kie Y.; Forbes,Leonard, Method including forming gate dielectrics having multiple lanthanide oxide layers.
  357. Aitken John M. (Mahopac NY) Akbar Shahzad (Austin TX) Crowder Billy L. (Putnam Valley NY) Iqbal Asif (Danbury CT) Nihal Perwaiz (Hopewell Junction NY), Method of Fabricating a micro-coaxial wiring structure.
  358. Yin, Zhiping, Method of decontaminating process chambers, methods of reducing defects in anti-reflective coatings, and resulting semiconductor structures.
  359. Brian A. Vaartstra, Method of depositing films by using carboxylate complexes.
  360. Vaartstra Brian A., Method of depositing films by using carboxylate complexes.
  361. Vaartstra Brian A., Method of depositing films on semiconductor devices by using carboxylate complexes.
  362. Huganen, Juha; Kanniainen, Tapio, Method of depositing thin films for magnetic heads.
  363. Richardson William F. (Richardson TX), Method of fabricating a high density EPROM cell on a trench wall.
  364. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating a highly reliable gate oxide.
  365. Leonard Forbes ; Kie Y. Ahn, Method of fabricating a semiconductor-on-insulator memory cell with buried word and body lines.
  366. Wu Shye-Lin (Nan-Tou TWX) Lee Chung-Len (Hsinchu TWX) Lei Tan-Fu (Hsinchu TWX), Method of fabricating a textured tunnel oxide for EEPROM applications.
  367. Marsh, Eugene P., Method of fabricating an SrRuO3 film.
  368. Ma Yanjun ; Ono Yoshi, Method of forming a doped metal oxide dielectric film.
  369. Wen-Ting Chu TW; Di-Son Kuo TW; Jack Yeh TW; Chia-Ta Hsieh TW; Chuan-Li Chang TW, Method of forming a floating gate self-aligned to STI on EEPROM.
  370. Forbes Leonard ; Noble Wendell P., Method of forming a logic array for a decoder.
  371. Ma, Yanjun; Ono, Yoshi, Method of forming a multilayer dielectric stack.
  372. Lee, Jang-Eun; Park, Sun-Hoo; Son, Jung-Hoon, Method of forming a quantum dot and a gate electrode using the same.
  373. Forbes, Leonard; Ahn, Kie Y., Method of forming a weak ferroelectric transistor.
  374. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method of forming an optical fiber interconnect through a semiconductor wafer.
  375. Sandhu, Gurtej S.; Doan, Trung Tri, Method of forming capacitor constructions.
  376. DeBoer Scott Jeffrey ; Gealy F. Daniel ; Thakur Randhir P. S., Method of forming capacitors containing tantalum.
  377. Forbes, Leonard; Ahn, Kie Y., Method of forming coaxial integrated circuitry interconnect lines.
  378. Michael Chudzik ; Oleg Gluschenkov ; Raj Jammy ; Uwe Schroeder GB; Helmut Tews, Method of forming low-leakage on-chip capacitor.
  379. Gardiner Robin A. ; Kirlin Peter S. ; Baum Thomas H. ; Gordon Douglas ; Glassman Timothy E. ; Pombrik Sofia ; Vaartstra Brian A., Method of forming metal films on a substrate by chemical vapor deposition.
  380. Pekka J. Soininen FI; Kai-Erik Elers FI; Suvi Haukka FI, Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH.
  381. Alain E. Kaloyeros ; Ana Londergan ; Barry Arkles, Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt.
  382. Ahn, Kie Y.; Forbes, Leonard, Method of making a chip packaging device having an interposer.
  383. Ma Manny K. F., Method of making a structure for providing signal isolation and decoupling in an integrated circuit device.
  384. Kenjiro Higaki,JPX ; Saburo Tanaka,JPX ; Hideo Itozaki,JPX ; Shuji Yazu,JPX, Method of making a superconducting microwave component by off-axis sputtering.
  385. Zhou, Otto Z., Method of making nanotube-based material with enhanced electron field emission properties.
  386. Ikegami Masami,JPX, Method of making nonvolatile memory elements with selector transistors.
  387. Ahn, Kie Y.; Forbes, Leonard, Method of manufacturing a single electron resistor memory device.
  388. Min,Yo sep; Bae,Eun ju; Choi,Won bong; Cho,Young jin; Lee,Jung hyun, Method of manufacturing inorganic nanotube.
  389. Elers, Kai-Erik, Method of modifying source chemicals in an ald process.
  390. Zhiping Yin, Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby.
  391. Ueno, Mayumi; Sunkara, Mahendra Kumar, Method of synthesizing metal doped diamond-like carbon films.
  392. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method to deposit a stacked high-κ gate dielectric for CMOS applications.
  393. Li Jianxun,SGX ; Chooi Simon,SGX ; Zhou Mei-Sheng,SGX, Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion.
  394. Wu Shye-Lin,TWX, Method to manufacture nonvolatile memories with a trench-pillar cell structure for high capacitive coupling ratio.
  395. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  396. Ellie Yieh ; Li-Qun Xia ; Srinivas Nemani, Methods and apparatus for shallow trench isolation.
  397. Ahn,Kie Y.; Forbes,Leonard, Methods for atomic-layer deposition of aluminum oxides in integrated circuits.
  398. Ahn,Kie Y.; Forbes,Leonard, Methods for forming a lanthanum-metal oxide dielectric layer.
  399. Agarwal, Vishnu K.; Derderian, Garo; Sandhu, Gurtej S.; Li, Weimin M.; Visokay, Mark; Basceri, Cem; Yang, Sam, Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers.
  400. Weling, Milind; Bothra, Subhas; Gabriel, Calvin Todd; Misheloff, Michael, Methods for forming co-axial interconnect lines in a CMOS process for high speed applications.
  401. Basceri, Cem; Sandhu, Gurtej, Methods for forming conductive structures and structures regarding same.
  402. Ahn, Kie Y.; Forbes, Leonard, Methods for forming dielectric materials and methods for forming semiconductor devices.
  403. Alessandro Cesare Callegari ; Fuad Elias Doany ; Evgeni Petrovich Gousev ; Theodore Harold Zabel, Methods for forming metal oxide layers with enhanced purity.
  404. Haukka, Suvi P.; Tuominen, Marko, Methods for making a dielectric stack in an integrated circuit.
  405. Brian A. Vaartstra, Methods for removing rhodium- and iridium-containing films.
  406. Visokay, Mark; Chambers, James Joseph; Colombo, Luigi; Rotondaro, Antonio Luis Pacheco, Methods for sputter deposition of high-k dielectric films.
  407. Ross H. Hill CA; Juan Pablo Bravo-Vasquez CA, Methods for the lithographic deposition of materials containing nanoparticles.
  408. Chambers, James Joseph, Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness.
  409. Srividya, Cancheepuram V.; Gealy, F. Daniel; Graettinger, Thomas M., Methods of depositing noble metals and methods of forming capacitor constructions.
  410. Sandhu, Gurtej S.; Doan, Trung Tri, Methods of forming capacitor constructions.
  411. Basceri, Cem; Gealy, F. Daniel; Sandhu, Gurtej S, Methods of forming capacitor constructions, and methods of forming constructions comprising dielectric materials.
  412. Al-Shareef, Husam N.; DeBoer, Scott Jeffrey; Gealy, F. Daniel; Thakur, Randhir P. S., Methods of forming capacitors.
  413. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Methods of forming coaxial integrated circuitry interconnect lines.
  414. Drewes, Joel A., Methods of forming magnetoresisitive devices.
  415. Eldridge, Jerome M., Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure.
  416. Sandhu, Gurtej S.; Doan, Trung Tri, Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions.
  417. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  418. Ahn, Kie Y., Methods, systems, and apparatus for uniform chemical-vapor depositions.
  419. Brian A. Vaartstra ; Donald L. Westmoreland, Mixed metal nitride and boride barrier layers.
  420. Daly, Terence Gerard, Multi-reel slot machine with selectable reel play.
  421. Cleeves, James M.; Subramanian, Vivek, Multigate semiconductor device with vertical channel current and method of fabrication.
  422. Lee, Kang N., Multilayer article characterized by low coefficient of thermal expansion outer layer.
  423. Yanjun Ma ; Yoshi Ono, Multilayer dielectric stack and method.
  424. Agarwal Vishnu K. ; Derderian Garo J. ; Gealy F. Daniel, Multilayer electrode for ferroelectric and high dielectric constant capacitors.
  425. Senzaki, Yoshihide, Multilayer high κ dielectric films.
  426. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film.
  427. Forbes, Leonard, Multilevel semiconductor-on-insulator structures and circuits.
  428. Chen Wei ; Smith ; III Theoren Perlee ; Tiwari Sandip, Nano-structure memory device.
  429. Forbes, Leonard, Nanocrystal write once read only memory for archival storage.
  430. Arvind Halliyal ; Robert Bertram Ogle, Jr. ; Joong S. Jeon ; Fred Cheung ; Effiong Ibok, Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material.
  431. Jin, Been-Yih; Arghavani, Reza; Chau, Robert, Non-silicon semiconductor and high-k gate dielectric metal oxide semiconductor field effect transistors.
  432. Chindalore, Gowrishankar L.; Ingersoll, Paul A.; Swift, Craig T.; Hoefler, Alexander B., Non-volatile memory device and method for forming.
  433. Hoefler, Alexander B.; Chindalore, Gowrishankar L.; Ingersoll, Paul A.; Swift, Craig T., Non-volatile memory device having an anti-punch through (APT) region.
  434. Kehr Clifton L. (Silver Spring MD) Marans Nelson S. (Silver Spring MD), Novel hydrophobic polyurethane foams.
  435. Arami Junichi,JPX ; Ishikawa Kenji,JPX ; Kitamura Masayuki,JPX, One-by-one type heat-processing apparatus.
  436. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  437. Brock Lieselotte (Aachen DEX) Frank Gunter (Aachen DEX) Vitt Bruno (Aachen DEX), Optical interference filter.
  438. Takeoka Yoshikatsu (Kawasaki JPX) Yasuda Nobuaki (Zushi JPX), Optical protuberant bubble recording medium.
  439. Iida, Tetsuya; Yoshikawa, Takamasa; Koike, Katsuhiro, Optical recording medium.
  440. Tokailin, Hiroshi; Nagasaki, Yoshikazu; Shibuya, Tadao, Organic electroluminescence element and production method thereof.
  441. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Oxide thin film, electronic device substrate and electronic device.
  442. Yang, Sam; Zheng, Lingyi A., Oxygen barrier for cell container process.
  443. Zhou Lin ; Zhang Xue-Shan, Physiotherapy fiber, shoes, fabric, and clothes utilizing electromagnetic energy.
  444. Lee,Chun Soo; Oh,Min Sub; Park,Hyung Sang, Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof.
  445. Tompa Gary Steven, Plasma enhanced chemical vapor deposition system.
  446. Cain John L. (Schertz TX) Relue Michael P. (San Antonio TX) Costabile Michael E. (San Antonio TX) Marsh William P. (San Antonio TX), Plasma processing apparatus.
  447. Donohoe Kevin G. ; Blalock Guy T., Plasma processing tools, dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers.
  448. Donohoe Kevin G. ; Blalock Guy T., Plasma producing tools, dual-source plasma etchers, dual-source plasma etching methods, and method of forming planar coil dual-source plasma etchers.
  449. Drage David J. (Sebastopol CA), Plasma reactor having slotted manifold.
  450. Chen, Jack Chieh-Cheng; Chen, Hancun; Prasad, Ravi; Whichard, Glenn, Plasma sprayed oxygen transport membrane coatings.
  451. Kieser Jrg (Albstadt DEX) Sellschopp Michael (Hammersbach DEX) Geisler Michael (Wchtersbach DEX), Plasma treatment apparatus.
  452. Tei, Goushu; Tanaka, Nobuyoshi; Ohmi, Tadahiro; Hirayama, Masaki, Plasma treatment method and method of manufacturing optical parts using the same.
  453. Nakahigashi Takahiro (Kyoto JPX) Murakami Hiroshi (Kyoto JPX) Otani Satoshi (Osaka JPX) Tabata Takao (Kyoto JPX) Maeda Hiroshi (Kyoto JPX) Kirimura Hiroya (Kyoto JPX) Kuwahara Hajime (Kyoto JPX), Plasma-CVD method and apparatus.
  454. Baum Thomas H. ; Kirlin Peter S. ; Pombrik Sofia, Platinum source compositions for chemical vapor deposition of platinum.
  455. Ahn Kie Y. ; Forbes Leonard, Porous silicon oxycarbide integrated circuit insulator.
  456. Ahn, Kie Y.; Forbes, Leonard, Porous silicon oxycarbide integrated circuit insulator.
  457. Kie Y. Ahn ; Leonard Forbes, Porous silicon oxycarbide integrated circuit insulator.
  458. Bruley, John; Cabral, Jr., Cyril; Lavoie, Christian; Wagner, Tina J.; Wang, Yun Yu; Wildman, Horati S.; Hon, Wong Kwong, Pre-anneal of CoSi, to prevent formation of amorphous layer between Ti-O-N and CoSi.
  459. Cheung, Fred TK; Halliyal, Arvind, Precision high-K intergate dielectric layer.
  460. Vaartstra Brian A., Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide.
  461. Vaartstra Brian A., Precursor mixtures for use in preparing layers on substrates.
  462. Gonczy Stephen T. (Mount Prospect IL) Lawson Randy J. (Arlington Heights IL) Rosen Bruce I. (Skokie IL), Preparation of ceramics.
  463. Jeon, Joong, Preparation of composite high-K / standard-K dielectrics for semiconductor devices.
  464. Jeon, Joong, Preparation of composite high-K dielectrics.
  465. Halliyal, Arvind; Jeon, Joong S.; Ngo, Minh Van; Ogle, Robert B., Preparation of composite high-K/standard-K dielectrics for semiconductor devices.
  466. Marans Nelson S. (Silver Spring MD) Kehr Clifton L. (Silver Spring MD), Preparation of solid polyurethane particles.
  467. Simons Guido,DEX ; Strecker ; deceased Helmut,DEX ITX by Renate Strecker ; executor ; Molz Peter,DEX ; Schnorr Gerd,DEX ; Skrzipczyk Heinz Jurgen,DEX ; Wissmann Hans,DEX, Process and test kit for determining free active compounds in biological fluids.
  468. Song, Kevin; Ravi, Jallepally; Li, Shih-Hung; Chen, Liang-Yuh, Process conditions and precursors for atomic layer deposition (ALD) of AL2O3.
  469. Smith, Ryan C.; Ma, Tiezhong; Campbell, Stephen A.; Gladfelter, Wayne L., Process for forming hafnium oxide films.
  470. Marsh, Eugene P.; Uhlenbrock, Stefan, Process for low temperature atomic layer deposition of Rh.
  471. Douglas R. Roberts ; Eric Luckowski, Process for making a MIM capacitor.
  472. Ebbinghaus Bartley B. ; Van Konynenburg Richard A. ; Vance Eric R.,AUX ; Stewart Martin W.,AUX ; Walls Philip A.,AUX ; Brummond William Allen ; Armantrout Guy A. ; Herman Connie Cicero ; Hobson Bever, Process for making a ceramic composition for immobilization of actinides.
  473. Senzaki, Yoshihide; Hochberg, Arthur Kenneth; Norman, John Anthony Thomas, Process for metal metalloid oxides and nitrides with compositional gradients.
  474. Yoshihiko Yano JP; Takao Noguchi JP, Process for preparing ferroelectric thin films.
  475. Doan Trung T. (Boise ID) Lowrey Tyler A. (Boise ID), Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit cap.
  476. Putkonen, Matti, Process for producing oxide thin films.
  477. Ikai Keizo (Hayama JPX) Minami Masaki (Yokohama JPX) Matsuno Mitsuo (Yokohama JPX), Process for producing polysilanes.
  478. Shiraiwa, Hidehiko; Park, Jaeyong; Cheung, Fred T K; Halliyal, Arvind, Process for reducing hydrogen contamination in dielectric materials in memory devices.
  479. Wilk, Glen David; Ye, Peide, Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate.
  480. Becker Michael F. (2734 Trail of Madrones Austin TX 78746) Brock James R. (1801 Lavaca ; Apt. 6E Austin TX 78701-1305) Keto John W. (1808 Basin Ledge Austin TX 78746), Process for the production of nanoparticles.
  481. Doering, Kenneth; Galewski, Carl J., Processing chamber for atomic layer deposition processes.
  482. Rao Nagaraja P. ; Girshick Steven L. ; McMurry Peter H. ; Heberlein Joachim V. R., Production of nanostructured materials by hypersonic plasma particle deposition.
  483. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Programmable array logic or memory devices with asymmetrical tunnel barriers.
  484. Forbes,Leonard; Eldridge,Jerome M.; Ahn,Kie Y., Programmable array logic or memory devices with asymmetrical tunnel barriers.
  485. Noble Wendell P. ; Forbes Leonard, Programmable logic array with vertical transistors.
  486. Noble, Wendell P.; Forbes, Leonard, Programmable logic array with vertical transistors.
  487. Wendell P. Noble ; Leonard Forbes, Programmable logic array with vertical transistors.
  488. Leonard Forbes ; Kie Y. Ahn, Programmable logic arrays with ultra thin body transistors.
  489. Forbes,Leonard, Programmable memory address and decode circuits with low tunnel barrier interpoly insulators.
  490. Forbes,Leonard, Programmable memory address and decode circuits with low tunnel barrier interpoly insulators.
  491. Forbes, Leonard, Programmable memory address and decode circuits with ultra thin vertical body transistors.
  492. Forbes, Leonard, Programmable memory address and decode circuits with vertical body transistors.
  493. Forbes Leonard ; Noble Wendell P., Programmable memory address decode array with vertical transistors.
  494. Forbes, Leonard; Noble, Wendell P., Programmable memory address decode array with vertical transistors.
  495. Huang, Jen-Ren; Chou, Ming-Hung; Chiou, Jen-Ren, Programming a flash memory cell.
  496. Ofer Sneh, Radical-assisted sequential CVD.
  497. Sneh Ofer, Radical-assisted sequential CVD.
  498. Fukunaga Yukio,JPX ; Shinozaki Hiroyuki,JPX ; Tsukamoto Kiwamu,JPX ; Saitoh Masao,JPX, Reactant gas ejector head.
  499. Chu Chin-Chiun (North Brunswick NJ), Reactions involving zeolite catalysts modified with group IV A metals.
  500. Beigel, Michael L., Rectifying charge storage device with bi-stable states.
  501. Michael L. Beigel ; Yang Yang, Rectifying charge storage element.
  502. Yang, Haining; Gealy, Dan; Sandhu, Gurtej S.; Rhodes, Howard; Visokay, Mark, Rhodium-rich oxygen barriers.
  503. Marsh, Eugene P.; Kraus, Brenda D., RuSixOy-containing adhesion layers and process for fabricating the same.
  504. Rajeevakumar Thekkemadathil V. (Scarsdale NY), SOI trench DRAM cell for 256 MB DRAM and beyond.
  505. Forbes, Leonard, SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  506. Bhattacharyya,Arup, Scalable Flash/NV structures and devices with extended endurance.
  507. Bhattacharyya,Arup, Scalable flash/NV structures and devices with extended endurance.
  508. Christopher Hobbs ; Rama I. Hegde ; Philip J. Tobin, Selective removal of a metal oxide dielectric.
  509. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  510. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  511. Burns ; Jr. Stuart Mcallister ; Hanafi Hussein Ibrahim ; Welser Jeffrey J. ; Kocon Waldemar Walter ; Kalter Howard Leo, Self-aligned diffused source vertical transistors with stack capacitors in a 4F-square memory cell array.
  512. Jones, Robert E.; Barron, Carole C.; Luckowski, Eric D.; Melnick, Bradley M., Self-aligned magnetic clad write line and its method of formation.
  513. Huang Cheng H. (Hsin-Chu TWX) Lur Water (Taipei TWX), Self-aligned trenched contact (satc) process.
  514. Chung, Jeong-hee; Park, In-sung; Yeo, Jae-hyun, Semiconductor capacitors having tantalum oxide layers.
  515. DeBoer Scott Jeffrey ; Gealy F. Daniel ; Thakur Randhir P. S., Semiconductor circuit components and capacitors.
  516. Tomio Iwasaki JP; Hiroshi Moriya JP; Hideo Miura JP; Shuji Ikeda JP, Semiconductor device.
  517. Gardner Mark I. ; Fulford H. Jim ; May Charles E. ; Hause Fred ; Kwong Dim-Lee, Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof.
  518. Kutsunai, Toshie; Hayashi, Shinichiro; Mikawa, Takumi; Judai, Yuji, Semiconductor device with oxygen diffusion barrier layer termed from composite nitride.
  519. Kawakubo Takashi,JPX ; Fukushima Noboru,JPX, Semiconductor integrated memory manufacturing method and device.
  520. Teraguchi Nobuaki,JPX, Semiconductor light-emitting device.
  521. Sugiyama Naoharu,JPX ; Tezuka Tsutomu,JPX ; Katoh Riichi,JPX ; Kurobe Atsushi,JPX ; Tanamoto Tetsufumi,JPX, Semiconductor memory device having multilayer group IV nanocrystal quantum dot floating gate and method of manufacturing the same.
  522. Jamal Ramdani ; Ravindranath Droopad ; Lyndee L. Hilt ; Kurt William Eisenbeiser, Semiconductor structure, semiconductor device, communicating device, integrated circuit, and process for fabricating the same.
  523. Morishita, Takashi; Matsui, Masahiro, Semiconductor substrate and its production method, semiconductor device comprising the same and its production method.
  524. Forbes Leonard ; Ahn Kie Y., Semiconductor-on-insulator memory cell with buried word and body lines.
  525. Kalal, Peter J.; Quesada, Mark A., Sensors, methods of manufacture and sensing methods.
  526. Sherman Arthur, Sequential chemical vapor deposition.
  527. Sherman, Arthur, Sequential chemical vapor deposition.
  528. Li, Weimin, Sequential pulse deposition.
  529. Forbes,Leonard, Service programmable logic arrays with low tunnel barrier interpoly insulators.
  530. Forbes,Leonard, Service programmable logic arrays with low tunnel barrier interpoly insulators.
  531. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  532. Williams Norman (Newark CA), Showerhead for uniform distribution of process gas.
  533. Sasaki Nobuo (Kawasaki JPX) Ishigaki Toru (Kawasaki JPX), Signal processing device and a method for transmitting signal.
  534. Ahn Kie Y. ; Forbes Leonard, Silicon multi-chip module packaging with integrated passive components and method of making.
  535. Hurley Kelly T. ; Li Li ; Fazan Pierre ; Wu Zhiqiang, Silicon nitride deposition method for use in forming a memory cell dielectric.
  536. Noble Wendell P., Silicon-on-insulator islands and method for their formation.
  537. Fengyan Zhang ; Yanjun Ma ; Jer-Shen Maa ; Wei-Wei Zhuang ; Sheng Teng Hsu, Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same.
  538. Salerno Jack P. ; Zavracky Paul M. ; Spitzer Mark B. ; Dingle Brenda, Single crystal silicon arrayed devices with optical shield between transistor and substrate.
  539. Ahn Kie ; Forbes Leonard, Single electron MOSFET memory device and method.
  540. Ahn Kie Y. ; Forbes Leonard, Single electron resistor memory device and method for use thereof.
  541. Manning Monte (Kuna ID), Sixteen megabit static random access memory (SRAM) cell.
  542. Gruen Dieter M. ; Krauss Alan R. ; Erdemir Ali ; Bindal Cuma ; Zuiker Christopher D., Smooth diamond films as low friction, long wear surfaces.
  543. Saidi M. Yazid ; Barker Jeremy ; Koksbang Rene,DKX, Solid secondary lithium cell based on lithiated zirconium, titanium or hafnium oxide cathode material.
  544. Hong,Cheong M.; Chindalore,Gowrishankar L., Source side injection storage device with spacer gates and method therefor.
  545. Bhattacharyya, Arup, Stable PD-SOI devices and methods.
  546. Kaushik, Vidya S.; Nguyen, Bich-Yen, Strontium nitride or strontium oxynitride gate dielectric.
  547. Ahn Kie Y. ; Forbes Leonard ; Cloud Eugene H., Structure and method for a high performance electronic packaging assembly.
  548. Ahn, Kie Y.; Forbes, Leonard; Cloud, Eugene H., Structure and method for a high-performance electronic packaging assembly.
  549. Ahn Kie Y. ; Forbes Leonard, Structure and method for dual gate oxide thicknesses.
  550. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  551. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  552. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  553. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  554. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  555. Miyamoto, Matsutaro, Substrate processing apparatus.
  556. Marzolin,Christian; Marchal,Arnaud; Talpaert,Xavier, Substrate with a photocatalytic coating.
  557. Xi Xiaoxing (Greenbelt MD) Doughty Chris (Washington DC) Venkatesan Thirumalai (Washington DC), Superconducting field effect devices with thin channel layer.
  558. Pomarede, Christophe F.; Roberts, Jeff; Shero, Eric J., Surface preparation prior to deposition.
  559. Doppelhammer, Robert, Surface sealing showerhead for vapor deposition reactor having integrated flow diverters.
  560. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using disilazanes.
  561. Gruen, Dieter M.; McCauley, Thomas G.; Zhou, Dan; Krauss, Alan R., Tailoring nanocrystalline diamond film properties.
  562. Van Buskirk Peter C. ; Russell Michael W., Ternary nitride-carbide barrier layers.
  563. Strutt Peter R. ; Kear Bernard H. ; Boland Ross F., Thermal spray method for the formation of nanostructured coatings.
  564. Duenas Salvador ; Kola Ratnaji Rao ; Kumagai Henry Y. ; Lau Maureen Yee ; Sullivan Paul A. ; Tai King Lien, Thin film capacitors and process for making them.
  565. Koh,Won yong; Lee,Chun soo, Thin film forming method.
  566. Cabral ; Jr. Cyril (Ossining NY) Colgan Evan G. (Suffern NY) Grill Alfred (White Plains NY), Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum.
  567. Tue Nguyen, Three-dimensional showerhead apparatus.
  568. Bryan, Philip S.; Lambert, Patrick M.; Towers, Christine M.; Jarrold, Gregory S., Titanium activated hafnia and/or zirconia host phosphor containing a selected rare earth.
  569. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), Titanium activated hafnia and/or zirconia host phosphor containing indium.
  570. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), Titanium activated hafnia and/or zirconia host phosphor containing neodymium.
  571. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), Titanium activated hafnia and/or zirconia host phosphor containing scandium.
  572. Chen, San-Yuan; Hsieh, Wen-Feng; Ting, Chu-Chi, Titanium dioxide film co-doped with yttrium and erbium and method for producing the same.
  573. Basceri, Cem; Rhodes, Howard E.; Sandhu, Gurtej; Gealy, F. Daniel; Graettinger, Thomas M., Top electrode in a strongly oxidizing environment.
  574. Eppich,Denise M.; Weimer,Ronald A., Transistor devices, and methods of forming transistor devices and circuit devices.
  575. Forbes, Leonard; Ahn, Kie Y., Transistor with variable electron affinity gate and methods of fabrication and use.
  576. Leonard Forbes ; Eugene H. Cloud ; Kie Y. Ahn, Transmission lines for CMOS integrated circuits.
  577. Marcy ; 5th Henry O. ; Pedrotti Kenneth D. ; Pehlke David R. ; Seabury Charles W. ; Yao Jun J. ; Bartlett James L. ; Chang Mau Chung F. ; Mehrotra Deepak ; Tham J. L. Julian, Trimmable singleband and tunable multiband integrated oscillator using micro-electromechanical system (MEMS) technology.
  578. Friedenreich John P. ; Carstensen Robert K., Two-step nitride deposition.
  579. Klemperer, Walter G.; Lee, Jason; Mikalsen, Erik A.; Payne, David A., Ultrathin oxide films on semiconductors.
  580. Wang, Zhigang; Guo, Xin; He, Yue-Song, Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling.
  581. Halliyal, Arvind; Ramsbey, Mark T.; Zhang, Wei; Randolph, Mark W.; Cheung, Fred T. K., Use of high-K dielectric material in modified ONO structure for semiconductor devices.
  582. Halliyal, Arvind; Ramsbey, Mark T.; Chang, Kuo-Tung; Tripsas, Nicholas H.; Ogle, Robert B., Use of high-k dielectric materials in modified ONO structure for semiconductor devices.
  583. Saeki Hiroaki (Yamanashi JPX), Vacuum processing apparatus.
  584. Atwell David R. (Boise ID) Westmoreland Donald L. (Boise ID), Vapor delivery system for solid precursors and method regarding same.
  585. Ohashi Tadashi,JPX ; Chaki Katuhiro,JPX ; Xin Ping,JPX ; Fujii Tatsuo,JPX ; Iwata Katsuyuki,JPX ; Mitani Shinichi,JPX ; Honda Takaaki,JPX, Vapor deposition apparatus and method for forming thin film.
  586. Smith David C. (Los Alamos NM) Pattillo Stevan G. (Los Alamos NM) Laia ; Jr. Joseph R. (Los Alamos NM) Sattelberger Alfred P. (Los Alamos NM), Vapor deposition of thin films.
  587. Chatterjee Pallab K. (Richardson TX) Shah Ashwin H. (Dallas TX), Vertical DRAM cell and method.
  588. Lu Chih-Yuan (Hsin-chu TWX), Vertical DRAM cross point memory cell and fabrication method.
  589. Forbes Leonard, Vertical bipolar read access for low voltage memory cell.
  590. Leonard Forbes ; Kie Y. Ahn, Vertical gate transistors in pass transistor programmable logic arrays.
  591. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  592. Tsipursky Semeon ; Dolinko Vladimir ; Psihogios Vasiliki ; Beall Gary W., Viscous carrier compositions, including gels, formed with an organic liquid carrier, a layered material: polymer complex, and a di-, and/or tri-valent cation.
  593. Patricia A. Tooley, Wire coating compositions.
  594. Forbes, Leonard, Write once read only memory employing charge trapping in insulators.
  595. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Rochester NY), X-ray intensifying screen containing hafnia phosphor.
  596. Bryan Philip S. (Webster NY) Lambert Patrick W. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phospher containing europium to reduce afterglo.
  597. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing erbium to reduce afterglow.
  598. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing gadolinium to reduce afterg.
  599. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing indium.
  600. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing lutetium to reduce afterglo.
  601. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing neodymium to reduce aftergl.
  602. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing samarium to reduce afterglo.
  603. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing scandium.
  604. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing thulium to reduce afterglow.
  605. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing ytterbium to reduce aftergl.
  606. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing yttrium to reduce afterglow.
  607. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphur containing holmium to reduce afterglow.
  608. Bryan Philip S. (Rochester NY) Lambert Patrick M. (Webster NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen with enhanced emission.
  609. Chu Chin C. (North Brunswick NJ), Zeolite catalysts modified with group IV A metals.
  610. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  611. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  612. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium silicon-oxynitride gate dielectric.
  613. Ahn,Kie Y.; Forbes,Leonard, Zr--Sn--Ti--O films.

이 특허를 인용한 특허 (73)

  1. Ootsuka, Fumio, 3D stacked multilayer semiconductor memory using doped select transistor channel.
  2. Oosterlaken, Theodorus; de Ridder, Chris; Jdira, Lucian, Apparatus and method for manufacturing a semiconductor device.
  3. Kamiya, Tatsuo, Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum.
  4. Ahn, Kie Y.; Forbes, Leonard, Apparatus having a lanthanum-metal oxide semiconductor device.
  5. den Hartog Besselink, Edwin; Garssen, Adriaan; Dirkmaat, Marco, Cassette holder assembly for a substrate cassette and holding member for use in such assembly.
  6. Mirin, Nik; Huang, Tsai-Yu; Bhat, Vishwanath; Carlson, Chris M.; Antonov, Vassil N., Constructions comprising rutile-type titanium oxide; and methods of forming and utilizing rutile-type titanium oxide.
  7. Mirin, Nik; Huang, Tsai-Yu; Bhat, Vishwanath; Carlson, Chris M.; Antonov, Vassil N., Constructions comprising thermally conductive stacks containing rutile-type titanium oxide.
  8. Zaitsu, Masaru; Fukazawa, Atsuki; Fukuda, Hideaki, Continuous process incorporating atomic layer etching.
  9. Raisanen, Petri; Shero, Eric; Haukka, Suvi; Milligan, Robert Brennan; Givens, Michael Eugene, Deposition of metal borides.
  10. Zhu, Chiyu; Shrestha, Kiran; Haukka, Suvi, Deposition of metal borides.
  11. Kim, Chi-Ho; Shin, Jong-Han; Park, Ki-Seon, Electronic device including metal-insulator-semiconductor structure and method for fabricating the same.
  12. Milligan, Robert Brennan, Formation of boron-doped titanium metal films with high work function.
  13. Hawkins, Mark; Halleck, Bradley Leonard; Kirschenheiter, Tom; Hossa, Benjamin; Pottebaum, Clay; Miskys, Claudio, Gas distribution system, reactor including the system, and methods of using the same.
  14. Gealy, F. Daniel; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric structures.
  15. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Hybrid copper interconnect structure and method of fabricating same.
  16. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Hybrid copper interconnect structure and method of fabricating same.
  17. Shugrue, John; Moen, Ron, Lockout tagout for semiconductor vacuum valve.
  18. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  19. Pore, Viljami, Method and apparatus for filling a gap.
  20. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Aerde, Steven R. A.; Haukka, Suvi; Fukuzawa, Atsuki; Fukuda, Hideaki, Method and apparatus for filling a gap.
  21. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Der Star, Gido; Suzuki, Toshiya, Method and apparatus for filling a gap.
  22. Tolle, John; Hill, Eric; Winkler, Jereld Lee, Method and system for in situ formation of gas-phase compounds.
  23. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  24. Winkler, Jereld Lee, Method and systems for in-situ formation of intermediate reactive species.
  25. Suemori, Hidemi, Method for depositing dielectric film in trenches by PEALD.
  26. Kang, DongSeok, Method for depositing thin film.
  27. Takamure, Noboru; Okabe, Tatsuhiro, Method for forming Ti-containing film by PEALD using TDMAT or TDEAT.
  28. Shiba, Eiichiro, Method for forming aluminum nitride-based film by PEALD.
  29. Fukazawa, Atsuki; Fukuda, Hideaki, Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group.
  30. Fukazawa, Atsuki, Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition.
  31. Fukazawa, Atsuki; Fukuda, Hideaki; Takamure, Noboru; Zaitsu, Masaru, Method for forming dielectric film in trenches by PEALD using H-containing gas.
  32. Kimura, Yosuke; de Roest, David, Method for forming film having low resistance and shallow junction depth.
  33. Ishikawa, Dai; Fukazawa, Atsuki, Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches.
  34. Namba, Kunitoshi, Method for forming silicon oxide cap layer for solid state diffusion process.
  35. Shiba, Eiichiro, Method for performing uniform processing in gas system-sharing multiple reaction chambers.
  36. Yamagishi, Takayuki; Suwada, Masaei; Tanaka, Hiroyuki, Method for positioning wafers in multiple wafer transport.
  37. Kato, Richika; Nakano, Ryu, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  38. Kato, Richika; Okuro, Seiji; Namba, Kunitoshi; Nonaka, Yuya; Nakano, Akinori, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  39. Zaitsu, Masaru, Method of atomic layer etching using functional group-containing fluorocarbon.
  40. Zaitsu, Masaru; Kobayashi, Nobuyoshi; Kobayashi, Akiko; Hori, Masaru; Kondo, Hiroki; Tsutsumi, Takayoshi, Method of cyclic dry etching using etchant film.
  41. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer.
  42. Knaepen, Werner; Maes, Jan Willem; Jongbloed, Bert; Kachel, Krzysztof Kamil; Pierreux, Dieter; De Roest, David Kurt, Method of forming a structure on a substrate.
  43. Lee, Choong Man; Yoo, Yong Min; Kim, Young Jae; Chun, Seung Ju; Kim, Sun Ja, Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method.
  44. Chun, Seung Ju; Yoo, Yong Min; Choi, Jong Wan; Kim, Young Jae; Kim, Sun Ja; Lim, Wan Gyu; Min, Yoon Ki; Lee, Hae Jin; Yoo, Tae Hee, Method of processing a substrate and a device manufactured by using the method.
  45. Kohen, David; Profijt, Harald Benjamin, Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures.
  46. Raisanen, Petri; Givens, Michael Eugene, Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures.
  47. Ahn, Kie Y.; Forbes, Leonard, Methods of forming an insulating metal oxide.
  48. Mirin, Nik; Huang, Tsai-Yu; Bhat, Vishwanath; Carlson, Chris; Antonov, Vassil, Methods of forming and utilizing rutile-type titanium oxide.
  49. Margetis, Joe; Tolle, John, Methods of forming highly p-type doped germanium tin films and structures and devices including the films.
  50. Margetis, Joe; Tolle, John, Methods of forming silicon germanium tin films and structures and devices including the films.
  51. Fareed, Qhalid; Gaska, Remigijus; Shur, Michael, Methods of growing nitride-based film using varying pulses.
  52. Zhu, Chiyu; Asikainen, Timo; Milligan, Robert Brennan, NbMC layers.
  53. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  54. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Process for forming a film on a substrate using multi-port injection assemblies.
  55. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  56. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  57. Winkler, Jereld Lee, Pulsed remote plasma method and system.
  58. Zhu, Chiyu, Selective film deposition method to form air gaps.
  59. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  60. Shero, Eric; Verghese, Mohith E.; White, Carl L.; Terhorst, Herbert; Maurice, Dan, Semiconductor processing reactor and components thereof.
  61. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  62. Arai, Izumi, Single-and dual-chamber module-attachable wafer-handling chamber.
  63. Xie, Qi; de Roest, David; Woodruff, Jacob; Givens, Michael Eugene; Maes, Jan Willem; Blanquart, Timothee, Source/drain performance through conformal solid state doping.
  64. Weeks, Keith Doran, Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same.
  65. Tolle, John, Structures and devices including germanium-tin films and methods of forming same.
  66. Jeong, Sang Jin; Han, Jeung Hoon; Choi, Young Seok; Park, Ju Hyuk, Susceptor for semiconductor substrate processing apparatus.
  67. Tang, Fu; Givens, Michael Eugene; Xie, Qi; Raisanen, Petri, System and method for gas-phase sulfur passivation of a semiconductor surface.
  68. Lawson, Keith R.; Givens, Michael E., Systems and methods for dynamic semiconductor process scheduling.
  69. Derderian, Garo J.; Westmoreland, Donald L.; Uhlenbrock, Stefan, Systems and methods for forming niobium and/or vanadium containing layers using atomic layer deposition.
  70. Ahn, Kie Y.; Forbes, Leonard, Titanium aluminum oxide films.
  71. Coomer, Stephen Dale, Variable adjustment for precise matching of multiple chamber cavity housings.
  72. Shugrue, John Kevin, Variable conductance gas distribution apparatus and method.
  73. Schmotzer, Michael; Whaley, Shawn, Variable gap hard stop design.

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트