$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Systems and methods for providing dynamic memory pre-fetch 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G06F-012/00
출원번호 UP-0668088 (2007-01-29)
등록번호 US-7603526 (2009-10-28)
발명자 / 주소
  • VanStee, Dustin J.
  • Gower, Kevin C.
출원인 / 주소
  • International Business Machines Corporation
대리인 / 주소
    Cantor Colburn LLP
인용정보 피인용 횟수 : 3  인용 특허 : 227

초록

Systems and methods for providing dynamic memory pre-fetch. Embodiments include a hub device including an input command stream interface and an adaptive pre-fetch logical unit (APLU). The input command stream interface detects commands from a memory controller directed to one or more memory devices

대표청구항

The invention claimed is: 1. A hub device comprising: an input command stream interface detecting commands from a memory controller directed to one or more memory devices that are connected to the hub device; and an adaptive pre-fetch logical unit (APLU) independently analyzing the commands to dete

이 특허에 인용된 특허 (227)

  1. Sidiropoulos, Stefanos, Apparatus and method for controlling a master/slave system via master device synchronization.
  2. Radke, William; Peterson, James R., Apparatus and method for distributed memory control in a graphics processing system.
  3. Mohamed, Moataz A; Li, Chien-Wei; Spence, John R., Apparatus and method for issue grouping of instructions in a VLIW processor.
  4. Azimi,Saeed; Ho,Son, Apparatus and method for testing and debugging an integrated circuit.
  5. Halbert John B. ; Dodd Jim M. ; Lam Chung ; Bonella Randy M., Apparatus for implementing a buffered daisy chain connection between a memory controller and memory modules.
  6. Joseph M. Jeddeloh, Apparatus for providing fast memory decode using a bank conflict table.
  7. Brunk John L. (Brighton MI), Apparatus using converters, multiplexer and two latches to convert SCSI data into serial data and vice versa.
  8. Porterfield,A. Kent, Arbitration method for a source strobed bus.
  9. Meyer,James W.; Kanski,Cory, Arbitration system and method for memory responses in a hub-based memory system.
  10. Sites Richard Lee ; Witek Richard T., Branch prediction in high-performance processor.
  11. Dodd, Jim M.; Williams, Michael W.; Halbert, John B.; Bonella, Randy M.; Lam, Chung, Buffer to multiply memory interface.
  12. Drehmel, Robert Allen; Haselhorst, Kent Harold; Hoover, Russell Dean; Marcella, James Anthony, Bus architecture employing varying width uni-directional command bus.
  13. Beverly,Harlan T.; Wong,Percy W.; Booth,Bradley J., Bus conversion device, system and method.
  14. Echartea, Jesus Palomino; Moreno, Gabriel, Bus framer.
  15. John, Gwilt David, Bus interface selection by page table attributes.
  16. Franaszek Peter A. (Katonah NY) Widmer Albert X. (Katonah NY), Byte oriented DC balanced (0,4) 8B/10B partitioned block transmission code.
  17. Gharachorloo, Kourosh; Barroso, Luiz A.; Ravishankar, Mosur K.; Stets, Jr., Robert J; Nowatzyk, Andreas, Cache coherence protocol engine system and method for processing memory transaction in distinct address subsets during interleaved time periods in a multiprocessor system.
  18. McMinn Brian D., Cache including a prefetch way for storing cache lines and configured to move a prefetched cache line to a non-prefetch way upon access to the prefetched cache line.
  19. Cecchi, Delbert Raymond; Dreps, Daniel Mark, Cascaded differential receiver circuit.
  20. Terada Hiroaki (Osaka JPX) Asada Katsuhiko (Hyogo JPX) Nishikawa Hiroaki (Osaka JPX) Shima Kenji (Hyogo JPX) Komori Shinji (Hyogo JPX) Meichi Mitsuo (Hyogo JPX) Shimizu Masahisa (Osaka JPX) Miyata So, Cascaded information processing module having operation unit, parallel port, and serial port for concurrent data transfe.
  21. Andrea Y. J. Chen ; Lordson L. Yue, Circuit and method for detecting bank conflicts in accessing adjacent banks.
  22. Rondeau ; II Thomas C. (Boise ID) Magee Allan R. (Boise ID), Circuit and method for on-board programming of PRD Serial EEPROMS.
  23. Raz,Meirav; Cohen,Zeev; Marcu,Alon, Circuit, system and method for encoding data to be stored on a non-volatile memory array.
  24. Lee D. Whetsel, Circuitry and method of transferring parallel and serial data.
  25. Chang, Nai-Shung; Huang, Jin-Cheng, Clock device for supporting multiplicity of memory module types.
  26. Chin-Chieh, Chao; Chao-Ping, Su; Yen-Kuang, Chen, Clock multiplier.
  27. Yanagiuchi Hiroshi (Kanagawa JPX), Clock signal generator.
  28. Yeh,Tzong Kwang Henry; Beane,Bill; Lin,Chung Han; Chang,Wei Ling, Collision detection in a multi-port memory system.
  29. Betts,William L.; Bremer,Gordon; Holmquist,Kurt, Communication device and method for using non-self-synchronizing scrambling in a communication system.
  30. Keith Dow, Computer system with dram bus.
  31. Masayuki Ikeda JP, Computing system and cache memory control apparatus controlling prefetch in hierarchical cache memories.
  32. Davies Eric (Grass Valley CA) Langston Daun (Grass Valley CA), Configurable architecture for serial communication.
  33. Ware,Fred; Perego,Richard; Tsern,Ely, Configurable width buffered module having flyby elements.
  34. Narad,Charles E., Configurably prefetching head-of-queue from ring buffers.
  35. Schultz Kenneth James,CAX ; Shafai Farhad,CAX ; Gibson Garnet Frederick Randall,CAX, Content addressable memory system with cascaded memories and self timed signals.
  36. Clark Scott D. ; Veldhuizen Mark G. ; Jensen Randall S. ; Kirscht Joseph A. ; Rudrud Paul W., Continuously powered mainstore for large memory subsystems.
  37. Perlman Eugene H. (Bedford NY) MacNaughton ; III Laurence (Hampton CT), Controller system or emulating local parallel minicomputer/printer interface and transferring serial data to remote line.
  38. Doody, John W.; Long, Finbarr Denis; McLoughlin, Michael; O'Keefe, Michael James, Coordinated recalibration of high bandwidth memories in a multiprocessor computer.
  39. Lamb, Kirk D.; VanStee, Dustin J., DDR-II driver impedance adjustment control algorithm and interface circuits.
  40. Kohn, Leslie D.; Olukotun, Kunle A.; Wong, Michael K., DRAM power management.
  41. Borkar Shekhar (Portland OR) Mooney Stephen R. (Beaverton OR), Daisy chained clock distribution scheme.
  42. Rogers ; Jr. Harry R. (Spring TX) Landry John A. (Tomball TX) Izquierdo Javier F. (Houston TX), Daisy-chained serial shift register for determining configuration of removable circuit boards in a computer system.
  43. Aldereguia Alfredo (Boca Raton FL) Cromer Daryl C. (Delray Beach FL) Bland Patrick M. (Delray Beach FL) Stutes Rodger M. (Delray Beach FL), Data processing apparatus for dynamically setting timings in a dynamic memory system.
  44. Pechanek Gerald G. (Endwell NY) Shippy David J. (Endwell NY) Snedaker Mark C. (Vestal NY) Woodward Sandra S. (Endwell NY), Data processing system parallel data bus having a single oscillator clocking apparatus.
  45. Chang Luke L. (Boca Raton FL) Cazzolla John J. (Fuquay-Varina NC) Nguyen Kha D. (Boca Raton FL), Data processing system with modified planar for boundary scan diagnostics.
  46. Blackmon, Herman Lee; Drehmel, Robert Allen; Haselhorst, Kent Harold; Marcella, James Anthony, Data routing using status-response signals.
  47. Nohara Mitsuo,JPX ; Yamazaki Katsuyuki,JPX, Data scramble transmission system.
  48. Doi Toshio,JPX ; Hayashi Takehisa,JPX ; Nakano Tetsuo,JPX, Data transfer system and method including tuning of a sampling clock used for latching data.
  49. Agarwal Brijesh, Database system with methods for improving query performance with cache optimization strategies.
  50. Millar Bruce,CAX, De-skewing data signals in a memory system.
  51. Leung Wing Y. (Cupertino CA) Hsu Fu-Chieh (Saratoga CA), Defect tolerant integrated circuit subsystem for communication between a module and a bus controller in a wafer-scale in.
  52. Burns Douglas J. (Westford MA) Fenwick David M. (Nashua NH) Hetherington Ricky C. (Westboro MA), Delay compensated signal propagation.
  53. Masahiro Tanaka JP, Detecting circuit and detecting method of idle word for content addressable memory.
  54. Samson Joseph E. (Dover MA) Wolff Kenneth T. (Medway MA) Reid Robert (Dunstable MA) Hendrie Gardner C. (Marlboro MA) Falkoff Daniel M. (Natick MA) Dynneson Ronald E. (Brighton MA) Clemson Daniel M. (, Digital data processor with high reliability.
  55. Torbey Elie, Digital phase-locked loop circuit with reduced phase jitter frequency.
  56. David, Howard S., Distributed memory module cache prefetch.
  57. Creta, Kenneth C.; Bell, Mike; George, Robert; Congdon, Bradford B; Blankenship, Robert; January, Duane, Distributed read and write caching implementation for optimized input/output applications.
  58. Richard L. Simon, Door knob lighting assembly.
  59. Dell Timothy Jay ; Kellogg Mark William, Dynamic configuration of memory module using modified presence detect data.
  60. Timothy Jay Dell ; Mark William Kellogg, Dynamic configuration of memory module using presence detect data.
  61. Kertesz Veronica ; Whitehead Don ; Burke James ; Tanna Harshad ; Garg Subhash ; Rao A. Rajeshwar,INX ; Lakshmi S. S.,INX ; Mishra S. ; Lakshminarayana J. ; Tamanna Pradeep Kumar,INX ; Kumar B. Ravi,I, Dynamic data exchange server.
  62. LaVallee Russell W. (Poughkeepsie NY) Ryan Philip M. (Hopewell Junction NY) Sollitto ; Jr. Vincent F. (Rhinebeck NY), Dynamic replacement of defective memory words.
  63. Hartmann Alfred C., Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip.
  64. Hartwell, David; Donaldson, Darrell, Enhanced clock forwarding data recovery.
  65. Shank Eric M. ; Raccuglia Brian J., Enhanced multi-pathing to an array of storage devices.
  66. Heibel John T. (Columbus OH) Savarda Raymond R. (Centerburg OH), Extended multistation bus system and method.
  67. Vail, David Kenyon; Wilson, Stephen S.; Volz, Jeffrey D.; Bruckmeyer, Joshua P.; Plum, Allen G., Fault-tolerant communications system and associated methods.
  68. Leung Wingyu (Cupertino CA) Hsu Fu-Chieh (Saratoga CA), Fault-tolerant hierarchical bus system and method of operating same.
  69. Chenoweth Charles C. (Renton WA) Takats Imre J. (Bellevue WA), Fly-by-wire lateral control system.
  70. Jeter, Jr.,Robert E.; Marshall,John W.; Scott,Jeffery B., Full access to memory interfaces via remote request.
  71. Porten,Joshua; Kim,Won; Johnson,Scott D.; Nickolls,John R., Galois field arithmetic unit for use within a processor.
  72. Bartels, Michael W.; Wilt, Nicholas J.; Gray, Scott L., High integrity recovery from multi-bit data failures.
  73. Laudon James P. ; Lenoski Daniel E. ; Manton John ; Anderson Michael E., High memory capacity DIMM with data and state memory.
  74. Deegan,John M.; Gower,Kevin Charles, High reliability memory subsystem using data error correcting code symbol sliced command repowering.
  75. Fuoco, Charles; Comisky, David A.; Agarwala, Sanjive; Damodaran, Raguram, Hub interface unit and application unit interfaces for expanded direct memory access processor.
  76. Moon,Byong Mo, Information processing system has clock lines which are electrically isolated from another clock line electrically connected to clock buffer and termination voltage.
  77. Fujiwara, Hirokatsu; Mita, Kimiko, Information processing system with memory element performance-dependent memory control.
  78. Jeddeloh,Joseph M.; Totorica,Robert, Integrated circuit load board and method having on-board test circuit.
  79. Dortu,Jean Marc, Integrated memory having a memory cell array containing a plurality of memory banks, and circuit configuration having an integrated memory.
  80. Jeddeloh Joe M., Interface for high speed memory.
  81. Mote ; Jr. L. Randall, Jtag testing of buses using plug-in cards with Jtag logic mounted thereon.
  82. Rypinski Chandos A. (Tiburon CA), Lan communication system and medium adapter for use therewith.
  83. Takahashi, Yoshitaka; Saito, Masahiko; Naya, Hidemitsu; Kikuchi, Mutsumi; Hotta, Takashi, Logic circuit module having power consumption control interface and a recording medium storing the module.
  84. Chaudhry, Shailender; Tremblay, Marc, Marking memory elements based upon usage of accessed information during speculative execution.
  85. Ohuchi Mitsurou (Tokyo JPX), Memory access control circuit with automatic access mode determination circuitry with read-modify-write and write-per-bi.
  86. Mastronarde,Josh B.; Sreenivas,Aditya; Piazza,Thomas A., Memory arbiter with intelligent page gathering logic.
  87. Dell Timothy J. ; Dimitri Kamal E. ; Dramstad Kent A. ; Faucher Marc R. ; Hazelzet Bruce G. ; Singer Bruce W., Memory card design with parity and ECC for non-parity and non-ECC systems.
  88. Dell Timothy J. ; Hazelzet Bruce G. ; Kellogg Mark W. ; Ogilvie Clarence R. ; Stabler Paul C., Memory card utilizing two wire bus.
  89. Zimmerman,David, Memory channel test fixture and method.
  90. Kim,Young sik, Memory control apparatus and method for controlling memory access capable of selecting desirable page mode.
  91. Thomas A. Dye, Memory controller including compression/decompression capabilities for improved data access.
  92. Rentschler, Eric M.; Hargis, Jeffrey G.; Johnson, Leith L., Memory controller with 1X/MX write capability.
  93. Gates Dennis E. ; Greenfield Scott E. ; Langford ; II Thomas L., Memory controller with error correction memory test application.
  94. Cho, James Y.; Keller, James B.; Hayter, Mark D., Memory controller with programmable configuration.
  95. Rentschler, Eric M.; Hargis, Jeffrey G.; Letey, George T, Memory controller with support for memory modules comprised of non-homogeneous data width RAM devices.
  96. Ely K. Tsern ; Thomas J. Holman ; Richard M. Barth ; Andrew V. Anderson ; Paul G. Davis ; Craig E. Hampel ; Donald C. Stark ; Abhijit M. Abhyankar, Memory device and system including a low power interface.
  97. Braceras Geordie M. ; Lamphier Steven H. ; Pilo Harold, Memory having user programmable AC timings.
  98. Lee,Terry R.; Jeddeloh,Joseph, Memory hub and access method having internal prefetch buffers.
  99. Jeddeloh,Joseph M., Memory hub and method for memory sequencing.
  100. Jeddeloh,Joseph M., Memory hub and method for memory system performance monitoring.
  101. Jeddeloh,Joseph M., Memory hub and method for providing memory sequencing hints.
  102. Schnepper,Randy L., Memory hub with integrated non-volatile memory.
  103. Jeddeloh,Joseph M., Memory hub with internal cache and/or memory access prediction.
  104. Grundon, Steven Alfred; Hazelzet, Bruce Gerard; Kellogg, Mark William; Rogers, James Lewis, Memory interface with programable clock to output time based on wide range of receiver loads.
  105. Tokunaga Muneharu,JPX ; Fukumoto Takakazu,JPX, Memory module.
  106. Halbert, John B.; Dodd, Jim M.; Lam, Chung; Bonella, Randy M., Memory module employing a junction circuit for point-to-point connection isolation, voltage translation, data synchronization, and multiplexing/demultiplexing.
  107. Holman,Thomas J., Memory module having a memory controller to interface with a system bus.
  108. Dong, Lam S.; Doblar, Drew G., Memory module having balanced data I/O contacts pads.
  109. John B. Halbert ; Randy M. Bonella, Memory module having buffer for isolating stacked memory devices.
  110. Farmwald Michael ; Horowitz Mark, Memory module having memory devices containing internal device ID registers and method of initializing same.
  111. Funaba, Seiji; Nakagome, Yoshinobu; Horiguchi, Masashi; Nishio, Yoji, Memory module including module data wirings available as a memory access data bus.
  112. Takashi Kubo JP; Kenichi Yasuda JP; Hisashi Iwamoto JP, Memory module system having multiple memory modules.
  113. Trick Steven G., Memory module with flexible serial presence detect configuration.
  114. Wing Yu Leung ; Fu-Chieh Hsu, Memory modules with high speed latched sense amplifiers.
  115. Kenneth S. Gray, Memory redundancy techniques.
  116. Greeff, Roy; Ovard, David, Memory repeater.
  117. Richard E. Perego ; Stefanos Sidiropoulos ; Ely Tsern, Memory system including a point-to-point linked memory subsystem.
  118. Wilcox, Jeffrey R., Memory system that measures power consumption.
  119. Lee, Terry R., Memory system that sets a predetermined phase relationship between read and write clock signals at a bus midpoint for a plurality of spaced device locations.
  120. Co, Ramon S., Memory system using complementary delay elements to reduce rambus module timing skew.
  121. Nielsen Michael J. K. ; Kindle Brian ; Gardner Linda S. ; Hussain Zahid S., Memory system with multiple addressing and control busses.
  122. Nielsen Michael J. K. ; Kindle Brian ; Gardner Linda S. ; Hussain Zahid S., Memory system with multiple addressing and control busses.
  123. Deneroff Martin M. ; Sarocky Kenneth M. ; McCall David Leo ; McCracken David Edward, Memory system with switching for data isolation.
  124. Brock, Bishop Chapman; Chiprout, Eli; Elnozahy, Elmootazbellah Nabil; Rajamony, Ramakrishnan; Rawson, III, Freeman Leigh; Rockhold, Ronald Lynn, Memory transaction monitoring system and user interface.
  125. Puthiya K. Nizar, Memory transceiver to couple an additional memory channel to an existing memory channel.
  126. Harder Stanley D. (Garland TX) Houghton Richard A. (Cocoa Beach FL) Wallace Richard H. (Plano TX), Method and apparatus determining order and identity of subunits by inputting bit signals during first clock period and r.
  127. Aleksic, Milivoje; Temkine, Grigory; Drapkin, Oleg; Mizuyabu, Carl; Hartog, Adrian, Method and apparatus for accessing memory.
  128. Stevens, William A.; Nizar, Puthiya K., Method and apparatus for configuring and initializing a memory device and a memory channel.
  129. Petty ; Jr. John S. (Durham NC), Method and apparatus for constructing and operating multipoint communication networks utilizing point-to point hardware.
  130. Toda, Makoto; Nishimoto, Junichi; Ito, Masayuki; Yoshida, Yutaka; Hasegawa, Jun, Method and apparatus for controlling external devices through address translation buffer.
  131. David E. Freker ; Andrew M. Volk, Method and apparatus for detecting time domains on a communication channel.
  132. John Stuewe ; Amy C. Nelson, Method and apparatus for determining continuity and integrity of a RAMBUS channel in a computer system.
  133. Bodas,Amit; Bogin,Zohar B.; Freker,David E.; Kareenahalli,Suryaprasad; Ramaswamy,Sridhar, Method and apparatus for dynamic timing of memory interface signals.
  134. Clasen Peter-Michael (Munich DEX), Method and apparatus for establishing a system clock in response to the level of one of two clock signal sources.
  135. Leak Daniel P. (Wichita KS) Kloeppner John R. (Wichita KS), Method and apparatus for generating Reed-Soloman error correcting code across multiple word boundaries.
  136. Shimizu Naohiko (Hadano JPX) Sawamoto Hideo (Hadano JPX), Method and apparatus for generating a real address multiple virtual address spaces of a storage.
  137. Krishan Baldev (Fremont CA) Singh Baljeet (Fremont CA) Moorthy Harihara (Fremont CA), Method and apparatus for implementing a PCMCIA auxiliary port connector for selectively communicating with peripheral de.
  138. Leddige, Michael W.; Horine, Bryce D.; Bonella, Randy; MacWilliams, Peter D., Method and apparatus for implementing multiple memory buses on a memory module.
  139. Dow, Keith E., Method and apparatus for improving timing margin in an integrated circuit as determined from recorded pass/fail indications for relative phase settings.
  140. Week, Jeremy, Method and apparatus for multiplexing hardware performance indicators.
  141. Helms,Frank P., Method and apparatus for powering down the CPU/memory controller complex while preserving the self refresh state of memory in the system.
  142. Palanca, Salvador; Cooray, Niranjan L.; Narang, Angad; Pentkovski, Vladimir; Tsai, Steve; Maiyuran, Subramaniam; Keshava, Jagannath; Lee, Hsien-Hsin; Spangler, Steve; Kuttuva, Suresh; Mosur, Praveen, Method and apparatus for prefetching data into cache.
  143. Frankenberg Robert J. (San Jose CA), Method and apparatus for refreshing semiconductor memories in multi-port and multi-module memory system.
  144. Nizar, Puthiya K.; Foo, Khong S., Method and apparatus for supporting SDRAM memory.
  145. Olarig Sompong Paul ; Koenen David J. ; Heng Chai S., Method and apparatus for supporting heterogeneous memory in computer systems.
  146. Olarig, Sompong Paul; Koenen, David J.; Heng, Chai S., Method and apparatus for supporting heterogeneous memory in computer systems.
  147. Gleichert Marc C. (1191 Bretmoor Way San Jose CA 95129) Hsu Arthur (763 Almondwood Way San Jose CA 95120) Wang Yun-Che (278 Sylvia Dr. Los Altos CA 94022), Method and apparatus for transmitting and receiving both 8B/10B code and 10B/12B code in a switchable 8B/10B transmitter.
  148. Barth Richard Maurice ; Griffin Matthew Murdy ; Ware Frederick Abbott ; Horowitz Mark Alan, Method and apparatus for transmitting memory requests by transmitting portions of count data in adjacent words of a pac.
  149. Zumkehr, John F.; Vogt, Pete D., Method and apparatus for utilizing write buffers in memory control/interface.
  150. Lee,Van Hoa, Method and apparatus to change the operating frequency of system core logic to maximize system memory bandwidth.
  151. Theodore L. Willke ; Warren R. Morrow, Method and apparatus to manage digital bus traffic.
  152. Leung Wing Y. (Cupertino CA) Hsu Fu-Chieh (Saratoga CA), Method and circuit for communication between a module and a bus controller in a wafer-scale integrated circuit system.
  153. Michael Baentsch CH; Peter Buhler CH; Thomas Eirich CH; Frank Hoering CH; Marcus Oestreicher CH, Method and device for loading instruction codes to a memory and linking said instruction codes.
  154. Cheselka Harry ; Hunter Steven Wade ; Lingafelt Charles Steven ; Mulkey James Gregory ; Yarbrough John Wagner, Method and system for managing system bus communications in a data processing system.
  155. Cronin,Jeffrey J.; Larson,Douglas A., Method and system for terminating write commands in a hub-based memory system.
  156. Liou,Ming Shi, Method and system of calibrating the control delay time.
  157. Kahn, Opher D.; Wilcox, Jeffrey R., Method for dynamically adjusting a memory page closing policy.
  158. Michael W. Leddige ; Bryce D. Horine ; Randy Bonella ; Peter D. MacWilliams, Method for implementing multiple memory buses on a memory module.
  159. Inrig Scott A. (Ottawa CAX) Chapman Alan S. J. (Kanata both of CAX), Method for providing a substitute memory in a data processing system.
  160. Rieger,Martin, Method for reconfiguring a memory.
  161. Volkonsky Vladimir Y.,RUX, Method for reducing a computational result to the range boundaries of a signed 16-bit integer in case of overflow.
  162. Janzen, Jeffery W.; Manning, Troy A.; Martin, Chris G.; Keeth, Brent, Method of synchronizing read timing in a high speed memory system.
  163. Matsuda Yoshio (Itami JPX) Kondoh Harufusa (Itami JPX) Hayashi Isamu (Itami JPX) Notani Hiromi (Itami JPX), Method of testing switches and switching circuit.
  164. Lin, Shuaibin, Methods and structure for pipelined read return control in a shared RAM controller.
  165. Okumura Naoto,JPX ; Dosaka Katsumi,JPX ; Takata Yukari,JPX, Microcomputer with processor bus having smaller width than memory bus.
  166. Guthrie, Guy Lynn; Arimilli, Ravi Kumar; Dodson, John Steven; Williams, Derek Edward, Multi-level multiprocessor speculation mechanism.
  167. VanDoren Stephen R. ; Sharma Madhumitra, Multi-processor computer system having a data switch with simultaneous insertion buffers for eliminating arbitration interdependencies.
  168. Janakiraman, Gopalakrishnan; Kumar, Rajendra, Multi-processor system with proactive speculative data transfer.
  169. Sasaki,Paul T.; Bergendahl,Jason R.; Ghia,Atul; Bazargan,Hassan; Sodha,Ketan; Tan,Jian; Zhang,Qi; Menon,Suresh, Multi-purpose source synchronous interface circuitry.
  170. John B. Halbert ; James M. Dodd ; Chung Lam ; Randy M. Bonella, Multi-tier point-to-point buffered memory interface.
  171. Timothy J. Dell ; Bruce G. Hazelzet ; Mark W. Kellogg, On-board scrubbing of soft errors memory module.
  172. Archer Jordan J. (San Jose CA) Deora Ajit J. (San Jose CA) Leung Kent S. (Milpitas CA) Peng Leon (Mountain View CA) Schopmeyer Robert C. (Los Altos CA) Scott David J. (Gilroy CA) Sharma Sanjay (Sunny, Open high speed bus for microcomputer system.
  173. Ravi Kumar Arimilli ; Lakshminarayana Baba Arimilli ; Leo James Clark ; John Steven Dodson ; Guy Lynn Guthrie ; James Stephen Fields, Jr., Optimized cache allocation algorithm for multiple speculative requests.
  174. Ackerman Dennis Frank ; Desai Himanshu Harshadrai ; Gupta Ram Kishor ; Strinivasan Ravi Rengarajan, Page table entry management method and apparatus for a microkernel data processing system.
  175. Jeddeloh, Joseph, Pipelined memory controller.
  176. Rao,G. R. Mohan; Schuette,Franz Michael, Posted precharge and multiple open-page RAM architecture.
  177. Ogawa Toshiyuki (Hyogo JPX) Kawai Shinji (Hyogo JPX), Power on reset circuit for semiconductor integrated circuit device.
  178. Drehmel, Robert Allen; Haselhorst, Kent Harold; Hoover, Russell Dean; Marcella, James Anthony; Nation, George Wayne, Processor-memory bus architecture for supporting multiple processors.
  179. Sasaki Paul T., Programmable logic device.
  180. Ritchie Dennis M. (Summit NJ), Protection of data file contents.
  181. Garnett Paul J.,GBX, Providing standby power to field replaceable units for electronic systems.
  182. Singh, Gurbir; Greiner, Robert J.; Pawlowski, Stephen S.; Hill, David L.; Parker, Donald D., Quad pumped bus architecture and protocol.
  183. Martin Douglas E. (Round Rock TX), Reconfigurable contiguous address space memory system including serially connected variable capacity memory modules and.
  184. Curley, Dennis P., Redundant bus switching.
  185. Gabillard Bertrand (Paris FRX) Girard Philippe (Corbeil-Essonnes FRX) Omet Dominique (Evry FRX), Redundant read bus for correcting defective columns in a cache memory.
  186. Wu, Kun Ho; Chuang, Hai Feng; Chiang, Chun Ta, SDR and DDR conversion device and associated interface card, main board and memory module interface.
  187. Mukojima Toshiaki,JPX, STM-N signal error correction coding system and method.
  188. Kessler, Richard E.; Duncan, Samuel H.; Hartwell, David W.; Webb, Jr., David A. J.; Lang, Steve, Scalable efficient I/O port protocol.
  189. Katta Noboru,JPX ; Murakami Hiroki,JPX ; Ibaraki Susumu,JPX ; Nakamura Seiji,JPX, Scrambling apparatus and descrambling apparatus.
  190. Collum,James F.; Janky,William Oscar; Peterson, III,Eugene H.; Maddox,Dennis, Secure transmission system for a digital trunked radio system.
  191. Allen James J. (Raleigh NC) Prorock Thomas J. (Raleigh NC), Segmentable addressable modular communication network hubs.
  192. Cok Ronald S. (Rochester NY), Segmented neural network with daisy chain control.
  193. Toda Haruki,JPX, Semiconductor memory system comprising synchronous DRAM and controller thereof.
  194. Heegard,Chris; Williams,Richard G. C., Separate self-synchronizing packet-based scrambler having replay variation.
  195. Takasugi Atsushi (Tokyo JPX), Serial access memory capable of reading data serially at a speed double the writing speed.
  196. Pinkham Raymond (Missouri City TX), Serial accessed semiconductor memory with reconfigurable shift registers.
  197. Cox Darrell L. (Boise ID), Serial architecture for memory module control.
  198. Bruce ; II E. William (Lunenberg MA), Sharing of bus access among multiple state machines with minimal wait time and prioritization of like cycle types.
  199. Wirt Lynda M., Single and multiple channel memory detection and sizing.
  200. Bechtolsheim Andreas ; Frank Edward ; Testa James ; Storm Shawn, Single in-line memory module.
  201. Coteus Paul William ; Dreps Daniel Mark ; Ferraiolo Frank, Smart memory interface.
  202. Moss, Robert W., Structure and methods for measurement of arbitration performance.
  203. Harrison Ronnie M. ; Keeth Brent, Synchronous clock generator including a compound delay-locked loop.
  204. Grundon, Steven; Kellogg, Mark, Synchronous memory modules and memory systems with selectable clock termination.
  205. Dell Timothy J. (Colchester VT) Farah Lina S. (Burlington VT) Feng George C. (Essex Junction VT) Kellogg Mark W. (Essex Junction VT), Synchronous memory packaged in single/dual in-line memory module and method of fabrication.
  206. James,Ralph, System and method for communicating the synchronization status of memory modules during initialization of the memory modules.
  207. Cheung, Edmund; Sponring, Otto, System and method for dynamic clock generation.
  208. Gharachorloo, Kourosh; Barroso, Luiz A.; Stets, Jr., Robert J.; Ravishankar, Mosur K.; Nowatzyk, Andreas, System and method for limited fanout daisy chaining of cache invalidation requests in a shared-memory multiprocessor system.
  209. Dye Thomas A., System and method for managing system memory and/or non-volatile memory using a memory controller with integrated compression and decompression capabilities.
  210. Jeddeloh,Joseph M., System and method for memory hub-based expansion bus.
  211. Jeddeloh,Joseph M., System and method for memory hub-based expansion bus.
  212. Jeddeloh,Joseph M., System and method for on-board diagnostics of memory modules.
  213. Venkatraman, KS, System and method for performing page table walks on speculative software prefetch operations.
  214. Erdmann,Michael, System and method for re-routing signals between memory system components.
  215. Bashant,David M.; Buddenbaum,Donald E.; Denny,Michael L.; Yellin,Daniel M., System and method for synchronizing related data elements in disparate storage systems.
  216. Brooks Gary S., System and methods for performing cache latency diagnostics in scalable parallel processing architectures including calculating CPU idle time and counting number of cache misses.
  217. Polzin,R. Stephen; Weber,Frederick D.; Talbot,Gerald R.; Hewitt,Larry D.; Reeves,Richard W.; Patel,Shwetal A.; La Fetra,Ross V.; Gulick,Dale E.; Hummel,Mark D.; Miranda,Paul C., System including a host connected to a plurality of memory modules via a serial memory interconnect.
  218. Hayter, Mark D.; Rowlands, Joseph B.; Cho, James Y., System on a chip for networking.
  219. Gower,Kevin C.; Kark,Kevin W.; Kellogg,Mark W.; Maule,Warren E., System, method and storage medium for providing a serialized memory interface with a bus repeater.
  220. Pruett Gregory B. ; Kilmer Gregory W. ; Ward James Peter, Tracking memory modules within a computer system.
  221. Garney, John I.; Howard, John S., Transaction scheduling for a bus system.
  222. Albert X. Widmer, Transformation of parallel interface into coded format with preservation of baud-rate.
  223. Saulsbury, Ashley; Nettleton, Nyles; Parkin, Michael, VLIW computer processing architecture with on-chip dynamic RAM.
  224. Koto, Shinichiro; Masuda, Tadaaki, Video scramble/descramble apparatus.
  225. Sites Richard L. (Boyleston MA) Witek Richard T. (Littleton MA), Virtual to physical address translation scheme with granularity hint for identifying subsequent pages to be accessed.
  226. Berestov Alexander L., Watch having a multiplicity of band attachment positions and wristband for use therewith.
  227. Goeltzenleuchter, Courtney; Emmot, Darel N; Ashburn, Jon L, Window copy-swap using multi-buffer hardware support.

이 특허를 인용한 특허 (3)

  1. Heisch, Randall Ray, Method of prefetch optimizing by measuring execution time of instruction sequence cycling through each selectable hardware prefetch depth and cycling through disabling each software prefetch instruction.
  2. Heisch, Randall Ray, Prefetch optimizer measuring execution time of instruction sequence cycling through each selectable hardware prefetch depth and cycling through disabling each software prefetch instruction of an instruction sequence of interest.
  3. Garg, Alok; Bade, Sharad; Kalamatianos, John, Tracking and eliminating bad prefetches generated by a stride prefetcher.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로