$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Low dielectric constant film produced from silicon compounds comprising silicon-carbon bond 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B05D-005/12
  • H01L-021/4763
출원번호 UP-0923037 (2007-10-24)
등록번호 US-7651725 (2010-02-24)
발명자 / 주소
  • Yau, Wai-Fan
  • Cheung, David
  • Jeng, Shin-Puu
  • Liu, Kuowei
  • Yu, Yung-Cheng
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Patterson & Sheridan
인용정보 피인용 횟수 : 3  인용 특허 : 106

초록

A method and apparatus for depositing a low dielectric constant film by reaction of an organo silane compound and an oxidizing gas. The oxidized organo silane film has excellent barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organo silane film can a

대표청구항

What is claimed is: 1. A method for forming an integrated circuit structure, comprising: depositing one or more layers, wherein at least one layer comprises a low dielectric constant material comprising silicon, oxygen, and carbon; defining openings in the one or more layers; lining the openings wi

이 특허에 인용된 특허 (106)

  1. Felts John T. (Alameda CA) Chatham ; III Hood (Fairfield CA) Countrywood Joseph (Napa CA) Nelson Robert J. (Walnut Creek CA), Apparatus for rapid plasma treatments and method.
  2. Cheung David ; Yau Wai-Fan ; Mandal Robert R., CVD plasma assisted low dielectric constant films.
  3. Goel Arvind (Buffalo NY) Bray Donald J. (East Amherst NY) Martin Steven C. (Williamsville NY) Blakely Keith A. (Buffalo NY), Capacitive thin films using diamond-like nanocomposite materials.
  4. Haluska Loren A. (Midland MI) Michael Keith W. (Midland MI) Tarhay Leo (Sanford MI), Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors.
  5. O\Neal Harry E. (San Diego CA) Ring Morey A. (San Diego CA) Martin John G. (El Cajon CA), Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promot.
  6. Morita Katsumi (Matsudo JPX), Chemical vapor deposition method of silicon dioxide film.
  7. Mountsier Thomas Weller, Chemical vapor deposition of low density silicon dioxide films.
  8. Hu Ing-Feng ; O'Connor Paul J. ; Tou James C. ; Sedon James H. ; Bales Stephen E. ; Perettie Donald J., Coated plastic substrate.
  9. Jenkins Michael S. (Longton GB2) Simpson Andrew F. (Hesketh Bank GB2) Porter David A. (Birkdale GB2), Coatings on glass.
  10. Farmer Peter H. (Longmeadow MA) Ho Stanley S. (Wilbraham MA) Riek Raymond F. (Wilbraham MA) Woodard Floyd E. (Olivette MO), Composite solar/safety film and laminated window assembly made therefrom.
  11. Vines Landon B. (San Antonio TX) Koenigseder Sigmund A. (San Antonio TX) Cain John L. (Taylor TX) Lee Chang-Ou (San Antonio TX) Fujishiro Felix (San Antonio TX), Densification in an intermetal dielectric film.
  12. Jang Syun-Ming (Hsin-Chu TWX) Yu Chen-Hua (Keelung City TWX), Deposit-etch-deposit ozone/teos insulator layer method.
  13. Roberts David A. (Carlsbad CA) Hochberg Arthur K. (Solana Beach CA), Deposition of silicon dioxide films at temperatures as low as 100 degree C. by LPCVD using organodisilane sources.
  14. Hochberg Arthur K. (1037 Santa Queta Solana Beach CA 92075) O\Meara David L. (632 S. Freeman Oceanside CA 92054), Deposition of silicon oxide films using alkylsilane liquid sources.
  15. Lane Andrew P. (Westminster TX) Webb Douglas A. (Allen TX) Frederick Gene R. (Mesquite TX), Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride.
  16. Cohen Stephen A. (Wappingers Falls NY) Edelstein Daniel C. (New Rochelle NY) Grill Alfred (White Plains NY) Paraszczak Jurij R. (Pleasantville NY) Patel Vishnubhai V. (Yorktown NY), Diamond-like carbon for use in VLSI and ULSI interconnect systems.
  17. Yu Chen-Hua D. (Allentown PA), Dielectric deposition.
  18. Jen Shu ; Michael E. Thomas, Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD.
  19. Grill Alfred ; Hummel John Patrick ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Saenger Katherine Lynn, Dual damascene processing for semiconductor chip interconnects.
  20. Takei Tetsuya (Nagahama JPX) Shirai Shigeru (Hikone JPX) Ohtoshi Hirokazu (Nagahama JPX) Okamura Ryuji (Shiga JPX) Takai Yasuyoshi (Nagahama JPX) Katagiri Hiroyuki (Shiga JPX), Electrophotographic light-receiving member.
  21. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  22. Laxman Ravi K. (Encinitas CA) Hochberg Arthur K. (Solana Beach CA) Roberts David A. (Escondido CA) Vrtis Raymond N. (LaCosta CA), Fluorine doped silicon oxide process.
  23. Petrmichl Rudolph H. (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian K. (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  24. Petrmichl Rudolph Hugo (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian Kenneth (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  25. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  26. Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Petrmichl Rudolph H. (Center Valley PA) Galvin Norman D. (Easton PA), Ion beam process for deposition of highly abrasion-resistant coatings.
  27. Petrmichl Rudolph Hugo ; Mahoney Leonard Joseph ; Venable III Ray Hays ; Galvin Norman Donald ; Knapp Bradley J. ; Kimock Fred Michael, Ion beam process for deposition of highly wear-resistant optical coatings.
  28. Lee Chung J. ; Wang Hui ; Foggiato Giovanni Antonio, Low dielectric constant materials and method.
  29. Ravi Tirunelveli S., Low dielectric constant silicon dioxide sandwich layer.
  30. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Low power method of depositing a low k dielectric with organo silane.
  31. Mitchener James C. (Mountain View CA), Low temperature chemical vapor deposition of silicon dioxide films.
  32. Ikeda Yasuo (Tokyo JPX), Method and apparatus for forming silicon oxide film by chemical vapor deposition.
  33. DeBoer Scott J. ; Thakur Randhir P. S., Method for depositing cell nitride with improved step coverage using MOCVD in a wafer deposition system.
  34. Foo Pang-Dow (Berkeley Heights NJ) Huo Tai-Chan D. (New Providence NJ) Yan Man F. (Berkeley Heights NJ), Method for depositing dielectric layers.
  35. Ishihara Shunichi (Ebina JPX) Hanna Junichi (Yokohama JPX) Shimizu Isamu (Yokohama JPX), Method for forming a deposited film.
  36. Okano Haruo (Tokyo JPX) Noguchi Sadahisa (Tokyo JPX) Sekine Makoto (Yokohama JPX), Method for forming a film on a substrate by activating a reactive gas.
  37. Maeda Kazuo,JPX ; Tokumasu Noboru,JPX ; Yuyama Yoshiaki,JPX, Method for forming a fluorine containing silicon oxide film.
  38. Fukada Takashi,JPX, Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma.
  39. Fiordalice Robert W. (Austin TX) Maniar Papu D. (Austin TX) Klein Jeffrey L. (Austin TX), Method for forming inlaid interconnects in a semiconductor device.
  40. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  41. Chiang Chien ; Fraser David B., Method for forming multileves interconnections for semiconductor fabrication.
  42. Bhan Mohan Krishan ; Subrahmanyam Sudhakar ; Gupta Anand ; Rana Virendra V. S., Method for improving film stability of fluorosilicate glass films.
  43. Tsui Bing-Yue,TWX, Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrat.
  44. Jeng Erik S.,TWX ; Yen Tzu-Shih,TWX, Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits.
  45. Clark Terence J. (Princeton NJ) Hanagan Michael J. (Princeton NJ) Cruse Richard W. (Kendall Park NJ) Szalai Veronika A. (Rocky Hill NJ) Rohman Stephen J. (Plainsboro NJ) Mininni Robert M. (Skillman N, Method for passivating the inner surface by deposition of a ceramic coating of an apparatus subject to coking, apparatus.
  46. Egitto Frank Daniel (Binghamton NY) Matienzo Luis Jesus (Endicott NY) Morrison ; Jr. Bruce Otho (Vestal NY), Method for producing a diffusion barrier and polymeric article having a diffusion barrier.
  47. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  48. Loboda Mark Jon ; Seifferly Jeffrey Alan, Method for producing hydrogenated silicon oxycarbide films having low dielectric constant.
  49. Loboda, Mark Jon; Seifferly, Jeffrey Alan, Method for producing hydrogenated silicon oxycarbide films having low dielectric constant.
  50. Bennett Brian R. (Redford MA) Lorenzo Joseph P. (Stow MA) Vaccaro Kenneth (Medford MA), Method for the deposition of high quality silicon dioxide at low temperature.
  51. Antonelli Joseph A. (Riverton NJ) Lin Tyau-Jeen (Chadds Ford PA) Yang Duck J. (Wilmington DE) Yasuda Hirotsugu (Columbia MO), Method of coating metal using low temperature plasma and electrodeposition.
  52. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  53. Yahiro Kazuyuki (Kawasaki JPX), Method of depositing a reflow SiO2 film.
  54. Kaganowicz Grzegorz (Princeton NJ), Method of depositing a silicon oxide layer.
  55. Havemann Robert H. ; Stoltz Richard A., Method of dual masking for selective gap fill of submicron interconnects.
  56. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Method of forming a plasma polymerized film.
  57. Loboda Mark J. (Midland MI), Method of forming crystalline silicon carbide coatings.
  58. Maeda Kazuo (Tokyo JPX) Tokumasu Noboru (Tokyo JPX) Yuyama Yoshiaki (Tokyo JPX), Method of forming insulating film.
  59. Sugahara Gaku,JPX ; Aoi Nobuo,JPX ; Arai Koji,JPX ; Sawada Kazuyuki,JPX, Method of forming interlayer insulating film.
  60. Sato Nobuyoshi (Chiba JPX) Tokunaga Kyoji (Chiba JPX) Katagiri Tomoharu (Chiba JPX) Hashimoto Tsuyoshi (Chiba JPX) Ohta Tomohiro (Chiba JPX), Method of forming interlayer-insulating film using ozone and organic silanes at a pressure above atmospheric.
  61. Foo Pang-Dow (Berkeley Heights NJ) Manocha Ajit S. (Allentown PA) Miner John F. (Piscataway NJ) Pai Chien-Shing (Bridgewater NJ), Method of forming oxide layers by bias ECR plasma deposition.
  62. Lagendijk Andre (Oceanside CA), Method of forming silicon dioxide glass films.
  63. Hasegawa Toshiaki,JPX ; Aoyama Junichi,JPX, Method of forming stacked insulating film and semiconductor device using the same.
  64. Havemann Robert H. (Garland TX) Jeng Shin-Puu (Plano TX) Gnade Bruce E. (Rowlett TX) Cho Chih-Chen (Richardson TX), Method of making an interconnect structure with an integrated low density dielectric.
  65. Brochot Jean-Pierre (Paris FRX) Sohier Philippe (Liancourt FRX) Ceccaroli Bruno (Svelgen NOX), Method of making coated glass substrates.
  66. Rose Peter ; Lopata Eugene ; Felts John, Method of making low .kappa. dielectric inorganic/organic hybrid films.
  67. Hashimoto Hidetsuna (Kawasaki JPX), Method of manufacturing semiconductor device having multilayer interconnection.
  68. Smits Jacobus W. M. (Eindhoven NLX), Method of providing silicon dioxide layer on a substrate by means of chemical reaction from the vapor phase at a low pre.
  69. Dobson Christopher David,GBX, Method of treating a semi-conductor wafer.
  70. Dobson Christopher David,GBX ; Kiermasz Adrian,GBX, Method of treating a semi-conductor wafer.
  71. Beekman Knut,GBX ; Kiermasz Adrian,GBX ; McClatchie Simon,GBX ; Taylor Mark Philip,GBX ; Timms Peter Leslie,GBX, Methods and apparatus for treating a semiconductor substrate.
  72. Sacher, Edward; Wertheimer, Michael R.; Schreiber, Henry P., Moisture impermeability or organosilicone films.
  73. Hsu Wei-Yung ; Hong Qi-Zhong, Multi-stage semiconductor cavity filling process.
  74. Jang Syun-Ming (Hsin-chu TWX) Yu Chen-Hua D. (Keelung TWX), Non-etchback self-aligned via size reduction method employing ozone assisted chemical vapor deposited silicon oxide.
  75. Dobuzinsky David M. (Hopewell Junction NY) Matsuda Tetsuo (Poughkeepsie NY) Nguyen Son V. (Hopewell Junction NY) Ryan James G. (Newton CT) Shapiro Michael (Beacon NY), PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element.
  76. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, Plasma-enhanced CVD process using TEOS for depositing silicon oxide.
  77. Rutherford Denise R. ; Hammar W. James ; Babu Gaddam N., Poly(.beta.-hydroxyorganoate)pressure sensitive adhesive compositions.
  78. Chen Chao-Cheng,TWX, Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesqu.
  79. Malba Vincent, Process for 3D chip stacking.
  80. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  81. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  82. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  83. Jain Ajay, Process for forming a semiconductor device.
  84. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film.
  85. Chhabra Navjot (Boise ID) Powell Eric A. (Boise ID) Morgan Rodney D. (Boise ID), Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced.
  86. Tsukune Atuhiro (Kawasaki JPX) Furumura Yuji (Kawasaki JPX) Masanobu Hatanaka (Kawasaki JPX), Process for forming silicon oxide film.
  87. Kato Takashi (Kawasaki JPX), Process for forming thin films by plasma CVD for use in the production of semiconductor devices.
  88. Friedt Jean-Marie (Tokyo JPX) Claverie Pierre (Tsuchiura JPX) Perrin Jme (Paris FRX), Process for producing a deposit of an inorganic and amorphous protective coating on an organic polymer substrate.
  89. Omori Masahiro (Palo Alto CA) Stoneham Edward B. (Los Altos CA), Protective coating useful as a passivation layer for semiconductor devices.
  90. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Protective film for articles and method.
  91. Cogan Stuart F. (Sudbury MA), Protective overlayer material and electro-optical coating using same.
  92. Heinecke Rudolf A. H. (Harlow GB2) Ojha Suresh M. (Harlow GB2) Llewellyn Ian P. (Harlow GB2), Pulsed plasma process for treating a substrate.
  93. Matsuura Masazumi (Hyogo-ken JPX), Semiconductor device and method of fabricating the same.
  94. Aoi Nobuo,JPX, Semiconductor device and method of producing the same.
  95. Matsuura Masazumi (Hyogo JPX), Semiconductor device comprising an SiOF insulative film.
  96. Saitoh Kenji,JPX, Semiconductor device manufacturing method.
  97. Matsuura Masazumi,JPX, Semiconductor device organic insulator film.
  98. Endo Kazuhiko (Tokyo JPX), Semiconductor device with amorphous carbon layer for reducing wiring delay.
  99. Misawa Kaori,JPX ; Ishihara Hiroyasu,JPX ; Mizuhara Hideki,JPX, Semiconductor devices with means to reduce contamination.
  100. Wood Thomas E. (Chandler AZ) Hughes Henry G. (Scottsdale AZ), Spin-on glass for use in semiconductor processing.
  101. Chetcuti Peter (Basel CHX), Synthetic polymer compositions containing charge transfer complexes, their preparation and the use thereof.
  102. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  103. Strom Terry B. (22 Kennard Rd. Brookline MA 02146), Treatment of autoimmune diseases with IL-2 receptor-specific cytotoxins.
  104. Angelopoulos Marie ; Babich Katherina ; Grill Alfred ; Halle Scott David ; Mahorowala Arpan Pravin ; Patel Vishnubhai Vitthalbhai, Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof.
  105. Angelopoulos, Marie; Babich, Katherina; Grill, Alfred; Halle, Scott David; Mahorowala, Arpan Pravin; Patel, Vishnubhai Vitthalbhai, Tunable vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and applications thereof.
  106. Sachdev Harbans S. (Wappingers Falls NY) Sachdev Krishna G. (Wappingers Falls NY), Use of plasma polymerized organosilicon films in fabrication of lift-off masks.

이 특허를 인용한 특허 (3)

  1. Liou, En-Chiuan; Yang, Chih-Wei; Huang, Chih-Sen; Hu, Chan-Yuan; Fu, Ssu-I, Method for manufacturing damascene structure involving dummy via holes.
  2. Bowen, Heather Regina; Li, Jianheng; O'Neill, Mark Leonard; Xiao, Manchao; Johnson, Andrew David; Lei, Xinjian, Methods of forming non-oxygen containing silicon-based films.
  3. Lei, Xinjian; Mallikarjunan, Anupama; MacDonald, Matthew R.; Xiao, Manchao, Silicon-based films and methods of forming the same.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로