$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/469
출원번호 UP-0117125 (2005-04-28)
등록번호 US-7662729 (2010-04-03)
발명자 / 주소
  • Ahn, Kie Y.
  • Forbes, Leonard
출원인 / 주소
  • Micron Technology, Inc.
대리인 / 주소
    Schwegman, Lundberg & Woessner, P.A.
인용정보 피인용 횟수 : 23  인용 특허 : 489

초록

Electronic apparatus and methods of forming the electronic apparatus include a conductive layer having a layer of ruthenium in contact with a lanthanide oxide dielectric layer for use in a variety of electronic systems. The lanthanide oxide dielectric layer and the layer of ruthenium may be structur

대표청구항

What is claimed is: 1. A method of forming an electronic device, the method comprising: forming a lanthanide oxide layer by atomic layer deposition; forming a ruthenium-based conductive layer using atomic layer deposition such that ruthenium contacts the lanthanide oxide layer; forming metallizatio

이 특허에 인용된 특허 (489)

  1. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., 4 F2 folded bit line DRAM cell structure having buried bit and word lines.
  2. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, 4 F2 folded bit line dram cell structure having buried bit and word lines.
  3. Sandhu, Gurtej; Derderian, Garo J., ALD method to improve surface coverage.
  4. Ahn,Kie Y.; Forbes,Leonard, ALD of amorphous lanthanide doped TiOfilms.
  5. Seabury Charles W. (Agoura CA) Kobrin Paul H. (Newbury Park CA) DeNatale Jeffrey F. (Thousand Oaks CA), Acoustic isolator having a high impedance layer of hafnium oxide.
  6. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices.
  7. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices using textured surface.
  8. Vaartstra, Brian A., Aluminum-containing material and atomic layer deposition methods.
  9. Ma Yanjun ; Ono Yoshi, Aluminum-doped zirconium dielectric film transistor structure and deposition method for same.
  10. Visokay, Mark R.; Colombo, Luigi; Rotondaro, Antonio L. P., Anneal sequence for high-κ film property optimization.
  11. Matijasevic, Vladimir; Kaplan, Todd, Apparatus and method for deposition of thin films.
  12. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  13. Sneh, Ofer; Seidel, Thomas E.; Galewski, Carl, Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition.
  14. Sandhu Gurtej S. ; Iyer Ravi ; Sharan Sujit, Apparatus and method to increase gas residence time in a reactor.
  15. Philip H. Campbell ; David J. Kubista, Apparatus and process of improving atomic layer deposition chamber performance.
  16. Gadgil, Prasad Narhar, Apparatus for atomic layer chemical vapor deposition.
  17. Dutta Arunava (Danvers) Dullea Leonard V. (Peabody) Dale Ernest A. (Hamilton MA), Apparatus for coating small solids.
  18. Deguchi Mikio (Itami JPX), Apparatus for producing semiconductor devices.
  19. Bhattacharyya, Arup, Asymmetric band-gap engineered nonvolatile memory device.
  20. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed.
  21. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited Zr-Sn-Ti-O films.
  22. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited Zr-Sn-Ti-O films using TiI.
  23. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited Zr-Sn-Ti-O films using TiI4.
  24. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrAlOdielectric layers including ZrAlO.
  25. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrTiOfilms.
  26. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  27. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited lanthanide doped TiOx dielectric films.
  28. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited nanolaminates of HfO/ZrOfilms as gate dielectrics.
  29. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics.
  30. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited zirconium silicon oxide films.
  31. Akram, Salman; Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection.
  32. Paranjpe,Ajit P.; Gopinath,Sanjay; Omstead,Thomas R.; Bubber,Randhir S.; Mao,Ming, Atomic layer deposition for fabricating thin films.
  33. Marsh, Eugene; Vaartstra, Brian; Castrovillo, Paul J.; Basceri, Cem; Derderian, Garo J.; Sandhu, Gurtej S., Atomic layer deposition methods.
  34. Vaartstra,Brian A., Atomic layer deposition methods.
  35. Vaartstra,Brian A., Atomic layer deposition methods and chemical vapor deposition methods.
  36. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of CeO/AlOfilms as gate dielectrics.
  37. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of Dy doped HfOfilms as gate dielectrics.
  38. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of ZrN/ZrOfilms as gate dielectrics.
  39. Zheng, Lingyi A.; Ping, Er-Xuan; Breiner, Lyle; Doan, Trung T., Atomic layer deposition of capacitor dielectric.
  40. Jang,Chuck; Dong,Zhong; Chan,Vei Han; Chen,Ching Hwa, Atomic layer deposition of interpoly oxides in a non-volatile memory device.
  41. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  42. Sandhu, Gurtej; Doan, Trung T., Atomic layer doping apparatus and method.
  43. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  44. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  45. Brenda D. Kraus ; John T. Moore ; Scott J. DeBoer, Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  46. Kraus Brenda D. ; Moore John T. ; DeBoer Scott J., Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  47. Ibok, Effiong; Zheng, Wei; Tripsas, Nicholas H.; Ramsbey, Mark T.; Cheung, Fred T K, Bi-layer floating gate for improved work function between floating gate and a high-K dielectric layer.
  48. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  49. Visokay, Mark Robert; Rotondaro, Antonio Luis Pacheco; Colombo, Luigi, Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing.
  50. Guenzer Charles S. (3852 Grove Ave. Palo Alto CA 94303), Bismuth titanate as a template layer for growth of crystallographically oriented silicon.
  51. Maiti Bikas ; Tobin Philip J. ; Mogab C. Joseph ; Hobbs Christopher ; Frisa Larry E.,DEX, CMOS semiconductor devices and method of formation.
  52. Sun Shi-Chung, CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET.
  53. Takeshi Kaizuka JP; Takashi Horiuchi JP; Masami Mizukami JP; Takashi Mochizuki JP; Yumiko Kawano JP; Hideaki Yamasaki JP, CVD apparatus and CVD method.
  54. Campbell, Philip H.; Carpenter, Craig M.; Dando, Ross S.; Hamer, Kevin T., CVD apparatuses and methods of forming a layer over a semiconductor substrate.
  55. Banerjee, Aditi; Wise, Rick L.; Crenshaw, Darius L., Capacitor and memory structure and method.
  56. Eldridge, Jerome M., Capacitor dielectric having perovskite-type crystalline structure.
  57. Garo J. Derderian ; Gurtej S. Sandhu, Capacitor fabrication methods and capacitor constructions.
  58. Hoffarth Joseph Gerard ; Lauffer John Matthew ; Mahmoud ; deceased Issa Said, Capacitor formed within printed circuit board.
  59. Sang-don Nam KR; Jin-won Kim KR, Capacitor of semiconductor device.
  60. Ahn, Kie Y.; Forbes, Leonard, Capacitor structure forming methods.
  61. Wilk, Glen D., Chemical vapor deposition of silicate high dielectric constant materials.
  62. Anand Srinivasan ; Sujit Sharon ; Raj Narasimhan, Chemical vapor deposition process.
  63. Mahawili Imad (Sunnyvale CA), Chemical vapor deposition reactor and method of operation.
  64. Vaartstra, Brian A., Chemical vapor deposition systems including metal complexes with chelating O- and/or N-donor ligands.
  65. Sandhu Gurtej S. ; Fazan Pierre, Chemical vapor deposition using organometallic precursors.
  66. Noble, Wendell P.; Forbes, Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  67. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  68. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  69. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  70. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  71. Forbes Leonard ; Geusic Joseph E. ; Ahn Kie Y., Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same.
  72. Ahn, Kie Y.; Forbes, Leonard, Composite dielectric forming methods and composite dielectrics.
  73. Bodor,Nicholas Stephen; Grant,Maria, Compounds and method for the prevention and treatment of diabetic retinopathy.
  74. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  75. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  76. Chen, Tung-Yu; Lai, Han-Chung, Contact structure and manufacturing method thereof.
  77. Bunshah Rointan F. (Playa del Rey CA) Deshpandey Chandra V. (Los Angeles CA) Doerr Hans J. (Westlake Village CA) Yoon Jong S. (Northridge CA), Controlled high rate deposition of metal oxide films.
  78. Ahn, Kie Y.; Forbes, Leonard, Copper technology for ULSI metallization.
  79. Ahn,Kie Y.; Forbes,Leonard, Crystalline or amorphous medium-K gate oxides, Y0and Gd0.
  80. Forbes, Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  81. Derderian, Garo J.; Sandhu, Gurtej S., Deposition methods.
  82. Vaartstra,Brian A.; Westmoreland,Donald; Marsh,Eugene P.; Uhlenbrock,Stefan, Deposition methods using heteroleptic precursors.
  83. Marsh,Eugene; Vaartstra,Brian; Castrovillo,Paul J.; Basceri,Cem; Derderian,Garo J.; Sandhu,Gurtej S., Deposition methods with time spaced and time abutting precursor pulses.
  84. Cabral, Jr.,Cyril; Callegari,Alessandro C.; Gribelyuk,Michael A.; Jamison,Paul C.; Lacey,Dianne L.; McFeely,Fenton R.; Narayanan,Vijay; Neumayer,Deborah A.; Ranade,Pushkar; Zafar,Sufi, Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures.
  85. Sager,Brian M., Device based on coated nanoporous structure.
  86. Marsh, Eugene P., Devices containing platinum-iridium films and methods of preparing such films and devices.
  87. Uhlenbrock, Stefan; Marsh, Eugene P., Devices containing platinum-rhodium layers and methods.
  88. Marsh, Eugene P., Devices containing zirconium-platinum-containing materials and methods for preparing such materials and devices.
  89. Ahn,Kie Y.; Forbes,Leonard, Devices with HfSiON dielectric films which are Hf-O rich.
  90. Anthony Thomas C. (Sunnyvale CA), Dielectric films for use in magnetoresistive transducers.
  91. Lee, Jongho; Lee, Nae-In, Dielectric layer for semiconductor device and method of manufacturing the same.
  92. Ahn,Kie Y.; Forbes,Leonard, Dielectric layer forming method and devices formed therewith.
  93. Marsh,Eugene P., Dielectric material forming methods.
  94. Andreoni,Wanda; Curioni,Alessandro; Shevlin,Stephen A., Dielectric materials.
  95. Schneemeyer Lynn Frances ; van Dover Robert Bruce, Dielectric materials of amorphous compositions and devices employing same.
  96. VanDover Robert Bruce, Dielectric materials of amorphous compositions of TI-O.sub.2 doped with rare earth elements and devices employing same.
  97. Marsh Eugene P., Diffusion barrier layers and methods of forming same.
  98. Chuman, Takashi; Yoshikawa, Takamasa; Hata, Takuya; Sakemura, Kazuto; Yamada, Takashi; Negishi, Nobuyasu; Iwasaki, Shingo; Satoh, Hideo; Yoshizawa, Atsushi; Ogasawara, Kiyohide, Display device of flat panel structure with emission devices of matrix array.
  99. Bhargava Rameshwar N. (5 Morningside Ct. Ossining NY 10562), Displays comprising doped nanocrystal phosphors.
  100. Yang,Jean Y.; Erhardt,Jeff P.; Tabery,Cyrus; Qian,Weidong; Ramsbey,Mark T.; Park,Jaeyong; Kamal,Tazrien, Disposable hard mask for memory bitline scaling.
  101. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  102. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  103. Ma Yanjun ; Ono Yoshi, Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same.
  104. Nakamura Masayuki (Akishima JPX) Kawahara Takayuki (Hachiouji JPX) Kajigaya Kazuhiko (Iruma JPX) Oshima Kazuyoshi (Ohme JPX) Takahashi Tsugio (Ohme JPX) Otori Hiroshi (Ohme JPX) Matsumoto Tetsuro (Hi, Dynamic RAM and information processing system using the same.
  105. Fally Jacques,FRX, Dynamic distance and position sensor and method of measuring the distance and the position of a surface using a sensor.
  106. Buynoski Matthew S. ; Besser Paul R. ; Xang Qi ; King Paul L. ; Paton Eric N., Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  107. Kazuto Sakemura JP; Shuuichi Yanagisawa JP; Shingo Iwasaki JP; Nobuyasu Negishi JP; Takashi Chuman JP; Takashi Yamada JP; Atsushi Yoshizawa JP; Hideo Satoh JP; Takamasa Yoshikawa JP; Kiyohid, Electron emission device and display apparatus using the same.
  108. Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX, Electron emission device and display device for emitting electrons in response to an applied electric field using the e.
  109. Chuman Takashi,JPX ; Iwasaki Shingo,JPX, Electron emission device and display device using the same.
  110. Ito Hiroshi,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  111. Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Ito Hiroshi,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  112. Negishi Nobuyasu,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Ogasawara Kiyohide,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  113. Takashi Yamada JP; Kiyohide Ogasawara JP; Takamasa Yoshikawa JP; Takashi Chuman JP; Nobuyasu Negishi JP; Shingo Iwasaki JP; Hiroshi Ito JP; Atsushi Yoshizawa JP; Shuuichi Yanagisawa JP; Kazu, Electron emission device and display device using the same.
  114. Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  115. Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  116. Yoshikawa Takamasa,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX ; Yamaguchi Masataka,JPX ; Iwasaki Shingo,JPX ; Negishi Nobuyasu,JPX ; Chuman Takashi,JPX, Electron emission device and display device using the same.
  117. Yoshizawa Atsushi,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  118. Negishi Nobuyasu,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Ogasawara Kiyohide,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX, Electron emission device and display using the same.
  119. Yoshikawa Takamasa,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display using the same.
  120. Nobuyasu Negishi JP; Takuya Hata JP; Atsushi Yoshizawa JP; Hideo Satoh JP; Takashi Yamada JP; Takashi Chuman JP; Shingo Iwasaki JP; Takamasa Yoshikawa JP; Hiroshi Ito JP; Kiyohide Ogasawara , Electron emission device with electron supply layer having reduced resistance.
  121. Negishi Nobuyasu,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device with electron supply layer of hydrogenated amorphous silicon.
  122. Yamada Takashi,JPX ; Yoshizawa Atsushi,JPX ; Hata Takuya,JPX ; Iwasaki Shingo,JPX ; Negishi Nobuyasu,JPX ; Chuman Takashi,JPX ; Satoh Hideo,JPX ; Ito Hiroshi,JPX ; Yoshikawa Takamasa,JPX ; Ogasawara , Electron emission device with specific island-like regions.
  123. Atsushi Yoshizawa JP; Hideo Satoh JP; Takashi Yamada JP; Takashi Chuman JP; Nobuyasu Negishi JP; Shingo Iwasaki JP; Takuya Hata JP; Takamasa Yoshikawa JP; Hiroshi Ito JP; Kiyohide Ogasawara , Electron emission light-emitting device and display apparatus using the same.
  124. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  125. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  126. Kashihara Keiichiro (Hyogo JPX) Okudaira Tomonori (Hyogo JPX) Itoh Hiromi (Hyogo JPX), Electronic device using zirconate titanate and barium titanate ferroelectrics in insulating layer.
  127. Bojarczuk, Jr., Nestor A.; Cartier, Eduard A.; Guha, Supratik, Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique.
  128. Meng, Shuang; Derderian, Garo J.; Sandhu, Gurtej Singh, Enhanced atomic layer deposition.
  129. Matthew S. Buynoski ; Paul R. Besser ; Paul L. King ; Eric N. Paton ; Qi Xiang, Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  130. Ahn, Kiey Y.; Forbes, Leonard, Evaporated LaA1O3 films for gate dielectrics.
  131. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-K dielectrics.
  132. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-k dielectrics.
  133. Er-Xuan Ping, Even nucleation between silicon and oxide surfaces for thin silicon nitride film growth.
  134. Cleary Thomas J. ; Wing James C., Exclusion of polymer film from semiconductor wafer edge and backside during film (CVD) deposition.
  135. Scobey Michael A. ; Zhang Xinxiong, External cavity semiconductor laser with monolithic prism assembly.
  136. Tai-Ju Chen TW; Hua-Chou Tseng TW, Fabrication of a shallow trench isolation by plasma oxidation.
  137. Ahn, Kie Y.; Forbes, Leonard, Field emission display having porous silicon dioxide layer.
  138. Ahn, Kie Y.; Forbes, Leonard, Field emission display having reduced power requirements and method.
  139. Noble, Wendell P.; Forbes, Leonard, Field programmable logic arrays with vertical transistors.
  140. Wendell P. Noble ; Leonard Forbes, Field programmable logic arrays with vertical transistors.
  141. Sandhu, Gurtej; Derderian, Garo J., Film composition.
  142. Forbes,Leonard; Eldridge,Jerome M., Flash memory with low tunnel barrier interpoly insulators.
  143. Forbes, Leonard, Flash memory with nanocrystalline silicon film coating gate.
  144. Forbes Leonard, Flash memory with nanocrystalline silicon film floating gate.
  145. Forbes Leonard, Flash memory with nanocrystalline silicon film floating gate.
  146. Leonard Forbes, Flash memory with nanocrystalline silicon film floating gate.
  147. Yanagisawa Shuuchi,JPX ; Yoshikawa Takamasa,JPX ; Sakemura Kazuto,JPX ; Yoshizawa Atsushi,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Yamada Takashi,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ;, Flat panel display apparatus with an array of electron emitting devices.
  148. Ahn, Kie Y.; Forbes, Leonard, Formation of metal oxide gate dielectric.
  149. Kie Y. Ahn ; Leonard Forbes, Formation of metal oxide gate dielectric.
  150. Brask,Justin K.; Kavalieros,Jack; Doczy,Mark L.; Metz,Matthew V.; Datta,Suman; Shah,Uday; Dewey,Gilbert; Chau,Robert S., Forming high-k dielectric layers on smooth substrates.
  151. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  152. Deacon Thomas E. ; Cheung David ; Lee Peter Wai-Man ; Huang Judy H., Gas distribution for CVD systems.
  153. Ni Tuqiang ; Demos Alex, Gas injection system for plasma processing.
  154. Rigby Leslie J. (Bishops Stortford GB2), Gas sensor.
  155. Ahn, Kie Y.; Forbes, Leonard, Gate oxides, and methods of forming.
  156. Ayako Shindo JP, Glass ceramic and temperature compensating member.
  157. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Graded thin films.
  158. Kaushik, Vidya S.; Nguyen, Bich-yen; Pietambaram, Srinivas V.; Schaeffer, III, James Kenyon, High K dielectric film.
  159. Nguyen, Bich-Yen; Zhou, Hong-Wei; Wang, Xiao-Ping, High K dielectric film.
  160. Minghwei Hong ; Ahmet Refik Kortan ; Jueinai Raynien Kwo ; Joseph Petrus Mannaerts, High dielectric constant gate oxides for silicon-based devices.
  161. Parsons, Gregory N.; Chambers, James J.; Kelly, M. Jason, High dielectric constant metal silicates formed by controlled metal-surface reactions.
  162. Akram,Salman; Ahn,Kie Y.; Forbes,Leonard, High permeability layered magnetic films to reduce noise in high speed interconnection.
  163. Thomas Michael E. (Cupertino CA), High temperature interconnect system for an integrated circuit.
  164. Colombo, Luigi; Chambers, James J.; Rotondaro, Antonio L. P.; Visokay, Mark R., High temperature interface layer growth for high-k gate dielectric.
  165. Ahn, Kie Y.; Forbes, Leonard, High-quality praseodymium gate dielectrics.
  166. Park,Dae Gyu; Gluschenkov,Oleg G.; Gribelyuk,Michael A.; Wong,Kwong Hon, High-temperature stable gate structure with metallic electrode.
  167. Ahn,Kie Y.; Forbes,Leonard, Highly reliable amorphous high-k gate dielectric ZrON.
  168. Ahn, Kie Y.; Forbes, Leonard, Highly reliable amorphous high-k gate dielectric ZrOXNY.
  169. Ahn,Kie Y.; Forbes,Leonard, Highly reliable amorphous high-k gate oxide ZrO2.
  170. Ahn, Kie Y.; Forbes, Leonard, Highly reliable gate oxide and method of fabrication.
  171. Lee Seaung Suk,KRX ; Kim Ho Gi,KRX ; Kim Jong Choul,KRX ; Choi Soo Han,KRX, Hot-wall CVD method for forming a ferroelectric film.
  172. Chhabra Navjot (Boise ID) Gibbons Loyal (Boise ID), Hydrofluoric acid etcher and cascade rinser.
  173. Yoshikawa, Takamasa; Satoh, Hideo; Yoshizawa, Atsushi; Yamada, Takashi; Chuman, Takashi; Negishi, Nobuyasu; Iwasaki, Shingo; Sakemura, Kazuto; Hata, Takuya; Ogasawara, Kiyohide, Image pickup device including electron-emitting devices.
  174. Forbes Leonard ; Geusic Joseph E., Information handling system having improved floating gate tunneling devices.
  175. Sarigiannis, Demetrius; Meng, Shuang; Derderian, Garo J., Insitu post atomic layer deposition destruction of active species.
  176. Moise Theodore S. ; Xing Guoqiang ; Visokay Mark ; Gaynor Justin F. ; Gilbert Stephen R. ; Celii Francis ; Summerfelt Scott R. ; Colombo Luigi, Integrated circuit and method.
  177. Tsu Robert ; Asano Isamu,JPX ; Iijima Shinpei,JPX ; McKee William R., Integrated circuit capacitor.
  178. Farrar,Paul A., Integrated circuit cooling system and method.
  179. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Integrated circuit memory device and method.
  180. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same.
  181. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  182. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  183. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  184. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  185. Ahn, Kie Y.; Forbes, Leonard, Integrated decoupling capacitors.
  186. Jeon, Joong S.; Halliyal, Arvind, Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices.
  187. Arne W. Ballantine ; Douglas A. Buchanan ; Eduard A. Cartier ; Kevin K. Chan ; Matthew W. Copel ; Christopher P. D'Emic ; Evgeni P. Gousev ; Fenton Read McFeely ; Joseph S. Newbury ; Harald , Interfacial oxidation process for high-k gate dielectric process integration.
  188. Wagner, Sigurd; Chen, Yu, Inverter made of complementary p and n channel transistors using a single directly-deposited microcrystalline silicon film.
  189. Ahn,Kie Y.; Forbes,Leonard, Iridium/zirconium oxide structure.
  190. Glass Thomas R. ; Schofield Kevin H., Irradiation mask.
  191. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  192. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  193. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films by plasma oxidation.
  194. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films.
  195. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOx dielectric films by plasma oxidation.
  196. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  197. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  198. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectrics.
  199. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide dielectric layer.
  200. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide/hafnium oxide dielectrics.
  201. Ahn,Kie; Forbes,Leonard, Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics.
  202. Glassman Timothy E. (Danbury CT) Chayka Paul V. (New Milford CT), Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films.
  203. Ahn,Kie Y.; Forbes,Leonard, Lanthanum aluminum oxynitride dielectric films.
  204. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  205. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  206. Maria, Jon-Paul; Kingon, Angus Ian, Lanthanum oxide-based dielectrics for integrated circuit capacitors.
  207. Maria, Jon-Paul; Kingon, Angus Ian, Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors.
  208. Frankel Jonathan ; Shmurun Inna ; Sivaramakrishnan Visweswaren ; Fukshansky Eugene, Lid assembly for high temperature processing chamber.
  209. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics.
  210. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics.
  211. Ahn,Kie Y.; Forbes,Leonard, Low-temperature growth high-quality ultra-thin praseodymium gate dieletrics.
  212. Cho, Hag-ju, METHODS OF MANUFACTURING INTEGRATED CIRCUIT DEVICES THAT INCLUDE A METAL OXIDE LAYER DISPOSED ON ANOTHER LAYER TO PROTECT THE OTHER LAYER FROM DIFFUSION OF IMPURITIES AND INTEGRATED CIRCUIT DEVICES M.
  213. Hsu, Sheng Teng; Zhang, Fengyan; Li, Tingkai, MFOS memory transistor & method of fabricating same.
  214. Yu, Bin; Xiang, Qi, MOSFET device having high-K dielectric layer.
  215. Yu, Bin; Paton, Eric N., MOSFET having a double gate.
  216. Yu, Bin; Xiang, Qi; Karlsson, Olov; Wang, HaiHong; Krivokapic, Zoran, MOSFETs with differing gate dielectrics and method of formation.
  217. Forbes Leonard ; Noble Wendell P., Memory address decode array with vertical transistors.
  218. Leonard Forbes ; Wendell P. Noble, Memory address decode array with vertical transistors.
  219. Gonzalez, Fernando, Memory cell arrays.
  220. Evans ; Jr. Joseph T. (Albuquerque NM) Bullington Jeff A. (Albuquerque NM), Memory cell based on ferro-electric non volatile variable resistive element.
  221. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., Memory cell having a vertical transistor with buried source/drain and dual gates.
  222. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, Memory cell having a vertical transistor with buried source/drain and dual gates.
  223. Forbes Leonard ; Noble Wendell P. ; Ahn Kie Y., Memory cell with vertical transistor and buried word and body lines.
  224. Leonard Forbes ; Wendell P. Noble ; Kie Y. Ahn, Memory cell with vertical transistor and buried word and body lines.
  225. Aronowitz,Sheldon; Zubkov,Vladimir; Sun,Grace S., Memory device having an electron trapping layer in a high-K dielectric gate stack.
  226. Muralidhar Ramachandran ; Subramanian Chitra K. ; Madhukar Sucharita ; White Bruce E. ; Sadd Michael A. ; Zafar Sufi ; O'Meara David L. ; Nguyen Bich-Yen, Memory device that includes passivated nanoclusters and method for manufacture.
  227. Hentges, Patrick J.; Greene, Laura H.; Pafford, Margaret Mary; Westwood, Glenn; Klemperer, Walter G., Metal alkoxides and methods of making same.
  228. Kirlin Peter S. ; Brown Duncan W. ; Baum Thomas H. ; Vaarstra Brian A. ; Gardiner Robin A., Metal complex source reagents for chemical vapor deposition.
  229. Brian A. Vaartstra, Metal complexes with chelating O-and/or N-donor ligands.
  230. Sam Yang ; Vishnu K. Agarwal, Metal oxynitride capacitor barrier layer.
  231. Forbes,Leonard; Farrar,Paul A.; Ahn,Kie Y., Metal-substituted transistor gates.
  232. Brasen Daniel (Lake Hiawatha NJ) Willens Ronald H. (Warren NJ), Metallized semiconductor device including an interface layer.
  233. Jiang Tongbi ; Li Li, Method and apparatus for electroless plating a contact pad.
  234. Dunham Scott William, Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes.
  235. Ahn, Kie Y.; Forbes, Leonard, Method and apparatus for the fabrication of ferroelectric films.
  236. Kie Y. Ahn ; Leonard Forbes, Method and apparatus for the fabrication of ferroelectric films.
  237. Bauer Mark E. ; Wells Steven ; Brown David M. ; Javanifard Johnny ; Sweha Sherif ; Hasbun Robert N. ; Gallagher Gary J. ; Rashid Mamun ; Rozman Rodney R. ; Hawk Glen ; Blanchard George ; Winston Mark, Method and circuitry for usage of partially functional nonvolatile memory.
  238. Wang,Ming Fang; Chen,Chia Lin; Yang,Chih Wei; Chen,Chi Chun; Hou,Tuo Hung; Lin,Yeou Ming; Yao,Liang Gi; Chen,Shih Chang, Method and structure for forming high-k gates.
  239. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  240. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  241. Gardner Mark I. ; Nistler John L. ; May Charles E., Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices.
  242. Sharan Sujit ; Sandhu Gurtej S., Method for PECVD deposition of selected material films.
  243. Thakur Randhir P. S., Method for cleaning semiconductor wafers.
  244. Thakur Randhir P.S., Method for cleaning semiconductor wafers and.
  245. Basceri, Cem; Gealy, Dan; Sandhu, Gurtej S., Method for controlling deposition of dielectric films.
  246. Chang, Jane; Lin, You-Sheng; Kepten, Avishai; Sendler, Michael; Levy, Sagy; Bloom, Robin, Method for depositing a coating having a relatively high dielectric constant onto a substrate.
  247. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method for depositing a nanolaminate film by atomic layer deposition.
  248. Rolfson J. Brett, Method for depositing doped amorphous or polycrystalline silicon on a substrate.
  249. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  250. Eugene P. Marsh, Method for fabricating an SrRuO3 film.
  251. Kim, Younsoo, Method for fabricating metal electrode with atomic layer deposition (ALD) in semiconductor device.
  252. Dalal Hormazdyar M. (Wappingers Falls NY) Ghafghaichi Majid (Poughkeepsie NY) Kasprzak Lucian A. (Hopewell Junction NY) Wimpfheimer Hans (Poughkeepsie NY), Method for fabricating tantalum semiconductor contacts.
  253. Tarui Yasuo (No. 6-4 ; Minamisawa 5-chome Higashikurume City ; Tokyo JPX) Soutome Yoshihiro (Osaka JPX) Morita Shinichi (Yokosuka JPX) Tanimoto Satoshi (Tokyo JPX), Method for ferroelectric thin film production.
  254. Choi, Sung-Je, Method for forming a dielectric layer of a semiconductor device.
  255. Park Dong Su,KRX, Method for forming a gate insulating film for semiconductor devices.
  256. Vidya S. Kaushik, Method for forming a high dielectric constant material.
  257. Trivedi, Jigish D., Method for forming a notched damascene planar poly/metal gate.
  258. Forbes, Leonard, Method for forming a programmable decoder with vertical transistors.
  259. Geusic Joseph E. ; Forbes Leonard ; Ahn Kie Y., Method for forming high capacitance memory cells.
  260. Noble Wendell P. ; Forbes Leonard, Method for forming high density flash memory.
  261. Maiti Bikas ; Tobin Philip J. ; Hegde Rama I. ; Cuellar Jesus, Method for forming high dielectric constant metal oxides.
  262. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method for forming integrated circuits using high aspect ratio vias through a semiconductor wafer.
  263. Choi, Eun-Seok, Method for forming metal films.
  264. Jong-myeong Lee KR; Hyun-seok Lim KR; Byung-hee Kim KR; Gil-heyun Choi KR; Sang-in Lee KR, Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby.
  265. Yun-sook Chae KR; Sang-bom Kang KR; Gil-heyun Choi KR; In-sang Jeon KR, Method for forming metal layer of semiconductor device using metal halide gas.
  266. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  267. Vaartstra Brian A., Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands.
  268. Yano Yoshihiko,JPX ; Noguchi Takao,JPX ; Nagano Katsuto,JPX, Method for forming oxide thin film and the treatment of silicon substrate.
  269. Yang, Haining; Sandhu, Gurtej S., Method for forming platinum-rhodium stack as an oxygen barrier.
  270. Cho, Ho Jin, Method for forming polyatomic layers.
  271. Kim Ki Bum,KRX ; Yoon Tae Sik,KRX ; Kwon Jang Yeon,KRX, Method for forming quantum dot in semiconductor device and a semiconductor device resulting therefrom.
  272. Vaartstra, Brian A., Method for forming refractory metal oxide layers with tetramethyldisiloxane.
  273. Park Bo Hyun,KRX, Method for forming shallow junction for semiconductor device.
  274. Ahn, Kie Y.; Forbes, Leonard, Method for forming single electron resistor memory.
  275. Ritala, Mikko; Rahtu, Antti; Leskela, Markku; Kukli, Kaupo, Method for growing thin oxide films.
  276. Ruff, Alexander; Kegel, Wilhelm; Karcher, Wolfram; Schrems, Martin, Method for increasing the capacitance in a storage trench.
  277. Ahn, Kie Y.; Forbes, Leonard, Method for making a ferroelectric memory transistor.
  278. David Christopher Gilmer, Method for making a hafnium-based insulating film.
  279. Feenstra Roeland ; Christen David ; Paranthaman Mariappan, Method for making high-critical-current-density YBa.sub.2 Cu.sub.3 O.sub.7 superconducting layers on metallic substrate.
  280. Klinedinst Keith A. (Marlboro MA) Gary Richard A. (Everett MA) Lichtensteiger Silvia E. (Acton MA), Method for making moisture insensitive zinc sulfide based luminescent materials.
  281. Bhattacharyya Arup (Essex Junction VT) Chu Wei-Kan (Poughkeepsie NY) Howard James K. (Fishkill NY) Wiedman Francis W. (Stowe VT), Method for manufacture of ultra-thin film capacitor.
  282. Iwaki,Takashi; Tsukamoto,Takeo, Method for manufacturing carbon fibers and electron emitting device using the same.
  283. Tatsuro Maeda JP, Method for manufacturing self-matching transistor.
  284. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  285. Soininen Erkki (Espoo FIX) Leppnen Marja (Espoo FIX), Method for preparing a multilayer structure for electroluminescent components.
  286. Thakur, Randhir P. S.; Weimer, Ronald A., Method for processing wafers in a semiconductor fabrication system.
  287. Watanabe Yoshitane,JPX ; Suzuki Keitaro,JPX ; Koyama Yoshinari,JPX ; Iijima Motoko,JPX, Method for producing composite sol, coating composition, and optical element.
  288. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  289. Eugene P. Marsh, Method for producing low carbon/oxygen conductive layers.
  290. Eugene P. Marsh, Method for producing low carbon/oxygen conductive layers.
  291. White Ted R. (Austin TX) Klein Jeff L. (Austin TX), Method for selectively depositing tungsten on a substrate by using a spin-on metal oxide.
  292. Wilk Glen D. ; Wei Yi ; Wallace Robert M., Method for thin film deposition on single-crystal semiconductor substrates.
  293. Van Wijck, Margreet Albertine Anne-Marie, Method for vapour deposition of a film onto a substrate.
  294. Ahn,Kie Y.; Forbes,Leonard, Method including forming gate dielectrics having multiple lanthanide oxide layers.
  295. Field Anthony J. (Cambridge GBX), Method of and apparatus for processing video signals.
  296. Yin, Zhiping, Method of decontaminating process chambers, methods of reducing defects in anti-reflective coatings, and resulting semiconductor structures.
  297. Brian A. Vaartstra, Method of depositing films by using carboxylate complexes.
  298. Vaartstra Brian A., Method of depositing films by using carboxylate complexes.
  299. Vaartstra Brian A., Method of depositing films on semiconductor devices by using carboxylate complexes.
  300. Huganen, Juha; Kanniainen, Tapio, Method of depositing thin films for magnetic heads.
  301. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating a highly reliable gate oxide.
  302. Leonard Forbes ; Kie Y. Ahn, Method of fabricating a semiconductor-on-insulator memory cell with buried word and body lines.
  303. Marsh, Eugene P., Method of fabricating an SrRuO3 film.
  304. Krivokapic, Zoran, Method of fabricating an ultra-thin fully depleted SOI device with T-shaped gate.
  305. Hidehiko, Shiraiwa; Halliyal, Arvind; Park, Jaeyong, Method of formation of semiconductor resistant to hot carrier injection stress.
  306. Vaartstra, Brian A.; Doan, Trung Tri, Method of forming a Ta2O5 comprising layer.
  307. Ma Yanjun ; Ono Yoshi, Method of forming a doped metal oxide dielectric film.
  308. Ma, Yanjun; Ono, Yoshi, Method of forming a multilayer dielectric stack.
  309. Lee, Jang-Eun; Park, Sun-Hoo; Son, Jung-Hoon, Method of forming a quantum dot and a gate electrode using the same.
  310. Lai,Joey; Lur,Water, Method of forming a semi-insulating region.
  311. Forbes, Leonard; Ahn, Kie Y., Method of forming a weak ferroelectric transistor.
  312. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method of forming an optical fiber interconnect through a semiconductor wafer.
  313. Sandhu, Gurtej S.; Doan, Trung Tri, Method of forming capacitor constructions.
  314. Gardiner Robin A. ; Kirlin Peter S. ; Baum Thomas H. ; Gordon Douglas ; Glassman Timothy E. ; Pombrik Sofia ; Vaartstra Brian A., Method of forming metal films on a substrate by chemical vapor deposition.
  315. Vaartstra,Brian A., Method of forming trench isolation in the fabrication of integrated circuitry.
  316. Pekka J. Soininen FI; Kai-Erik Elers FI; Suvi Haukka FI, Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH.
  317. Alain E. Kaloyeros ; Ana Londergan ; Barry Arkles, Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt.
  318. Ahn, Kie Y.; Forbes, Leonard, Method of making a chip packaging device having an interposer.
  319. Ramsbey, Mark T.; Ogle, Robert B.; Hsiao, Tommy C.; Hui, Angela T.; Pham, Tuan Duc; Plat, Marina V.; Shen, Lewis, Method of making a memory cell with polished insulator layer.
  320. Kenjiro Higaki,JPX ; Saburo Tanaka,JPX ; Hideo Itozaki,JPX ; Shuji Yazu,JPX, Method of making a superconducting microwave component by off-axis sputtering.
  321. Ahn, Kie Y.; Forbes, Leonard, Method of manufacturing a single electron resistor memory device.
  322. Min,Yo sep; Bae,Eun ju; Choi,Won bong; Cho,Young jin; Lee,Jung hyun, Method of manufacturing inorganic nanotube.
  323. Arima Hideaki (Hyogo JPX), Method of manufacturing semiconductor memory device.
  324. Elers, Kai-Erik, Method of modifying source chemicals in an ald process.
  325. Yamagata, Kenji, Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device.
  326. Zhiping Yin, Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby.
  327. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method to deposit a stacked high-κ gate dielectric for CMOS applications.
  328. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  329. Ellie Yieh ; Li-Qun Xia ; Srinivas Nemani, Methods and apparatus for shallow trench isolation.
  330. Ahn,Kie Y.; Forbes,Leonard, Methods for atomic-layer deposition of aluminum oxides in integrated circuits.
  331. Ahn,Kie Y.; Forbes,Leonard, Methods for forming a lanthanum-metal oxide dielectric layer.
  332. Agarwal, Vishnu K.; Derderian, Garo; Sandhu, Gurtej S.; Li, Weimin M.; Visokay, Mark; Basceri, Cem; Yang, Sam, Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers.
  333. Basceri, Cem; Sandhu, Gurtej, Methods for forming conductive structures and structures regarding same.
  334. Vaartstra Brian A., Methods for forming conformal iridium layers on substrates.
  335. Ahn, Kie Y.; Forbes, Leonard, Methods for forming dielectric materials and methods for forming semiconductor devices.
  336. Brian A. Vaartstra, Methods for forming iridium and platinum containing films on substrates.
  337. Alessandro Cesare Callegari ; Fuad Elias Doany ; Evgeni Petrovich Gousev ; Theodore Harold Zabel, Methods for forming metal oxide layers with enhanced purity.
  338. Haukka, Suvi P.; Tuominen, Marko, Methods for making a dielectric stack in an integrated circuit.
  339. Brian A. Vaartstra, Methods for removing rhodium- and iridium-containing films.
  340. Chambers, James Joseph, Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness.
  341. Vaartstra,Brian A., Methods of forming a phosphorous doped silicon dioxide comprising layer.
  342. Sandhu, Gurtej S.; Doan, Trung Tri, Methods of forming capacitor constructions.
  343. Drewes, Joel A., Methods of forming magnetoresisitive devices.
  344. Eldridge, Jerome M., Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure.
  345. Sandhu, Gurtej S.; Doan, Trung Tri, Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions.
  346. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  347. Ahn, Kie Y., Methods, systems, and apparatus for uniform chemical-vapor depositions.
  348. Ahn,Kie Y., Methods, systems, and apparatus for uniform chemical-vapor depositions.
  349. Brian A. Vaartstra ; Donald L. Westmoreland, Mixed metal nitride and boride barrier layers.
  350. Lee, Kang N., Multilayer article characterized by low coefficient of thermal expansion outer layer.
  351. Yanjun Ma ; Yoshi Ono, Multilayer dielectric stack and method.
  352. Senzaki, Yoshihide, Multilayer high κ dielectric films.
  353. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film.
  354. Ahn, Kie Y.; Forbes, Leonard; Eldridge, Jerome M., Multilevel copper interconnect with double passivation.
  355. Forbes, Leonard, Multilevel semiconductor-on-insulator structures and circuits.
  356. Chen Wei ; Smith ; III Theoren Perlee ; Tiwari Sandip, Nano-structure memory device.
  357. Forbes, Leonard, Nanocrystal write once read only memory for archival storage.
  358. Arvind Halliyal ; Robert Bertram Ogle, Jr. ; Joong S. Jeon ; Fred Cheung ; Effiong Ibok, Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material.
  359. Jin, Been-Yih; Arghavani, Reza; Chau, Robert, Non-silicon semiconductor and high-k gate dielectric metal oxide semiconductor field effect transistors.
  360. Chindalore, Gowrishankar L.; Ingersoll, Paul A.; Swift, Craig T.; Hoefler, Alexander B., Non-volatile memory device and method for forming.
  361. Hoefler, Alexander B.; Chindalore, Gowrishankar L.; Ingersoll, Paul A.; Swift, Craig T., Non-volatile memory device having an anti-punch through (APT) region.
  362. Arami Junichi,JPX ; Ishikawa Kenji,JPX ; Kitamura Masayuki,JPX, One-by-one type heat-processing apparatus.
  363. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  364. Takeoka Yoshikatsu (Kawasaki JPX) Yasuda Nobuaki (Zushi JPX), Optical protuberant bubble recording medium.
  365. Iida, Tetsuya; Yoshikawa, Takamasa; Koike, Katsuhiro, Optical recording medium.
  366. Tokailin, Hiroshi; Nagasaki, Yoshikazu; Shibuya, Tadao, Organic electroluminescence element and production method thereof.
  367. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Oxide thin film, electronic device substrate and electronic device.
  368. Yang, Sam; Zheng, Lingyi A., Oxygen barrier for cell container process.
  369. Danielson Earl ; Devenney Martin ; Giaquinta Daniel M., Phosphor Materials.
  370. Zhou Lin ; Zhang Xue-Shan, Physiotherapy fiber, shoes, fabric, and clothes utilizing electromagnetic energy.
  371. Lee,Chun Soo; Oh,Min Sub; Park,Hyung Sang, Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof.
  372. Cain John L. (Schertz TX) Relue Michael P. (San Antonio TX) Costabile Michael E. (San Antonio TX) Marsh William P. (San Antonio TX), Plasma processing apparatus.
  373. Donohoe Kevin G. ; Blalock Guy T., Plasma processing tools, dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers.
  374. Donohoe Kevin G. ; Blalock Guy T., Plasma producing tools, dual-source plasma etchers, dual-source plasma etching methods, and method of forming planar coil dual-source plasma etchers.
  375. Drage David J. (Sebastopol CA), Plasma reactor having slotted manifold.
  376. Kieser Jrg (Albstadt DEX) Sellschopp Michael (Hammersbach DEX) Geisler Michael (Wchtersbach DEX), Plasma treatment apparatus.
  377. Nakahigashi Takahiro (Kyoto JPX) Murakami Hiroshi (Kyoto JPX) Otani Satoshi (Osaka JPX) Tabata Takao (Kyoto JPX) Maeda Hiroshi (Kyoto JPX) Kirimura Hiroya (Kyoto JPX) Kuwahara Hajime (Kyoto JPX), Plasma-CVD method and apparatus.
  378. Baum Thomas H. ; Kirlin Peter S. ; Pombrik Sofia, Platinum source compositions for chemical vapor deposition of platinum.
  379. Ahn Kie Y. ; Forbes Leonard, Porous silicon oxycarbide integrated circuit insulator.
  380. Ahn, Kie Y.; Forbes, Leonard, Porous silicon oxycarbide integrated circuit insulator.
  381. Kie Y. Ahn ; Leonard Forbes, Porous silicon oxycarbide integrated circuit insulator.
  382. Bruley, John; Cabral, Jr., Cyril; Lavoie, Christian; Wagner, Tina J.; Wang, Yun Yu; Wildman, Horati S.; Hon, Wong Kwong, Pre-anneal of CoSi, to prevent formation of amorphous layer between Ti-O-N and CoSi.
  383. Cheung, Fred TK; Halliyal, Arvind, Precision high-K intergate dielectric layer.
  384. Vaartstra Brian A., Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide.
  385. Vaartstra Brian A., Precursor mixtures for use in preparing layers on substrates.
  386. Buchanan,Douglas A.; Neumayer,Deborah Ann, Precursor source mixtures.
  387. Jeon, Joong, Preparation of composite high-K / standard-K dielectrics for semiconductor devices.
  388. Jeon, Joong, Preparation of composite high-K dielectrics.
  389. Halliyal, Arvind; Jeon, Joong S.; Ngo, Minh Van; Ogle, Robert B., Preparation of composite high-K/standard-K dielectrics for semiconductor devices.
  390. Simons Guido,DEX ; Strecker ; deceased Helmut,DEX ITX by Renate Strecker ; executor ; Molz Peter,DEX ; Schnorr Gerd,DEX ; Skrzipczyk Heinz Jurgen,DEX ; Wissmann Hans,DEX, Process and test kit for determining free active compounds in biological fluids.
  391. Song, Kevin; Ravi, Jallepally; Li, Shih-Hung; Chen, Liang-Yuh, Process conditions and precursors for atomic layer deposition (ALD) of AL2O3.
  392. Smith, Ryan C.; Ma, Tiezhong; Campbell, Stephen A.; Gladfelter, Wayne L., Process for forming hafnium oxide films.
  393. Marsh, Eugene P.; Uhlenbrock, Stefan, Process for low temperature atomic layer deposition of Rh.
  394. Senzaki, Yoshihide; Hochberg, Arthur Kenneth; Norman, John Anthony Thomas, Process for metal metalloid oxides and nitrides with compositional gradients.
  395. Yoshihiko Yano JP; Takao Noguchi JP, Process for preparing ferroelectric thin films.
  396. Doan Trung T. (Boise ID) Lowrey Tyler A. (Boise ID), Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit cap.
  397. Wilk, Glen David; Ye, Peide, Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate.
  398. Kamikawa Yuuji (Uto JPX) Matsumura Kimiharu (Kumamoto JPX) Nomura Masafumi (Kumamoto JPX) Nagata Junichi (Kumamoto JPX), Processing apparatus with a gas distributor having back and forth parallel movement relative to a workpiece support surf.
  399. Doering, Kenneth; Galewski, Carl J., Processing chamber for atomic layer deposition processes.
  400. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Programmable array logic or memory devices with asymmetrical tunnel barriers.
  401. Forbes,Leonard; Eldridge,Jerome M.; Ahn,Kie Y., Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers.
  402. Noble, Wendell P.; Forbes, Leonard, Programmable logic array with vertical transistors.
  403. Wendell P. Noble ; Leonard Forbes, Programmable logic array with vertical transistors.
  404. Forbes, Leonard, Programmable memory address and decode circuits with ultra thin vertical body transistors.
  405. Forbes, Leonard, Programmable memory address and decode circuits with vertical body transistors.
  406. Forbes, Leonard; Noble, Wendell P., Programmable memory address decode array with vertical transistors.
  407. Huang, Jen-Ren; Chou, Ming-Hung; Chiou, Jen-Ren, Programming a flash memory cell.
  408. Ofer Sneh, Radical-assisted sequential CVD.
  409. Sneh Ofer, Radical-assisted sequential CVD.
  410. Thompson Richard D. (Millwood NY) Tsaur Boryeu (Arlington MA) Tu King-Ning (Chappaqua NY), Rare earth silicide Schottky barriers.
  411. Fukunaga Yukio,JPX ; Shinozaki Hiroyuki,JPX ; Tsukamoto Kiwamu,JPX ; Saitoh Masao,JPX, Reactant gas ejector head.
  412. Yang, Haining; Gealy, Dan; Sandhu, Gurtej S.; Rhodes, Howard; Visokay, Mark, Rhodium-rich oxygen barriers.
  413. Marsh, Eugene P.; Kraus, Brenda D., RuSixOy-containing adhesion layers and process for fabricating the same.
  414. Krivokapic, Zoran; Xiang, Qi; Yu, Bin, SOI device with metal source/drain and method of fabrication.
  415. Rajeevakumar Thekkemadathil V. (Scarsdale NY), SOI trench DRAM cell for 256 MB DRAM and beyond.
  416. Christopher Hobbs ; Rama I. Hegde ; Philip J. Tobin, Selective removal of a metal oxide dielectric.
  417. Chung, Jeong-hee; Park, In-sung; Yeo, Jae-hyun, Semiconductor capacitors having tantalum oxide layers.
  418. Tomio Iwasaki JP; Hiroshi Moriya JP; Hideo Miura JP; Shuji Ikeda JP, Semiconductor device.
  419. Ohmi,Tadahiro; Sugawa,Shigetoshi; Sekine,Katsuyuki; Saito,Yuji, Semiconductor device formed on (111) surface of a Si crystal and fabrication process thereof.
  420. Gardner Mark I. ; Fulford H. Jim ; May Charles E. ; Hause Fred ; Kwong Dim-Lee, Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof.
  421. Kinoshita,Hiroyuki; Sun,Yu; Banerjee,Basab; Foster,Christopher M.; Behnke,John R.; Tabery,Cyrus, Semiconductor device with core and periphery regions.
  422. Kutsunai, Toshie; Hayashi, Shinichiro; Mikawa, Takumi; Judai, Yuji, Semiconductor device with oxygen diffusion barrier layer termed from composite nitride.
  423. Teraguchi Nobuaki,JPX, Semiconductor light-emitting device.
  424. Gary M. Moore ; Katsuhito Nishikawa, Semiconductor processing reactor controllable gas jet assembly.
  425. Jamal Ramdani ; Ravindranath Droopad ; Lyndee L. Hilt ; Kurt William Eisenbeiser, Semiconductor structure, semiconductor device, communicating device, integrated circuit, and process for fabricating the same.
  426. Morishita, Takashi; Matsui, Masahiro, Semiconductor substrate and its production method, semiconductor device comprising the same and its production method.
  427. Kalal, Peter J.; Quesada, Mark A., Sensors, methods of manufacture and sensing methods.
  428. Sherman Arthur, Sequential chemical vapor deposition.
  429. Sherman, Arthur, Sequential chemical vapor deposition.
  430. Li, Weimin, Sequential pulse deposition.
  431. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  432. Ahn Kie Y. ; Forbes Leonard, Silicon multi-chip module packaging with integrated passive components and method of making.
  433. Hurley Kelly T. ; Li Li ; Fazan Pierre ; Wu Zhiqiang, Silicon nitride deposition method for use in forming a memory cell dielectric.
  434. Fengyan Zhang ; Yanjun Ma ; Jer-Shen Maa ; Wei-Wei Zhuang ; Sheng Teng Hsu, Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same.
  435. Ahn Kie ; Forbes Leonard, Single electron MOSFET memory device and method.
  436. Ahn Kie Y. ; Forbes Leonard, Single electron resistor memory device and method for use thereof.
  437. Hsu, Sheng Teng; Zhang, Fengyan, Single transistor ferroelectric transistor structure with high-K insulator and method of fabricating same.
  438. Kaushik, Vidya S.; Nguyen, Bich-Yen, Strontium nitride or strontium oxynitride gate dielectric.
  439. Ahn Kie Y. ; Forbes Leonard ; Cloud Eugene H., Structure and method for a high performance electronic packaging assembly.
  440. Ahn, Kie Y.; Forbes, Leonard; Cloud, Eugene H., Structure and method for a high-performance electronic packaging assembly.
  441. Ahn Kie Y. ; Forbes Leonard, Structure and method for dual gate oxide thicknesses.
  442. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  443. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  444. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  445. Marsh Eugene P., Structures including low carbon/oxygen conductive layers.
  446. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  447. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  448. Xi Xiaoxing (Greenbelt MD) Doughty Chris (Washington DC) Venkatesan Thirumalai (Washington DC), Superconducting field effect devices with thin channel layer.
  449. Doppelhammer, Robert, Surface sealing showerhead for vapor deposition reactor having integrated flow diverters.
  450. Vaartstra,Brian A.; Quick,Timothy A., Systems and method for forming silicon oxide layers.
  451. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming metal oxide layers.
  452. Vaartstra,Brian A., Systems and methods for forming metal oxides using alcohols.
  453. Vaartstra,Brian A., Systems and methods for forming metal oxides using alcohols.
  454. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands.
  455. Vaartstra,Brian A., Systems and methods for forming metal oxides using metal diketonates and/or ketoimines.
  456. Vaartstra, Brian A.; Westmoreland, Donald L., Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides.
  457. Vaartstra,Brian A., Systems and methods for forming metal-doped alumina.
  458. Vaartstra, Brian A., Systems and methods for forming refractory metal nitride layers using disilazanes.
  459. Vaartstra, Brian A., Systems and methods for forming refractory metal nitride layers using organic amines.
  460. Vaartstra, Brian A.; Uhlenbrock, Stefan, Systems and methods for forming strontium- and/or barium-containing layers.
  461. Vaartstra,Brian A.; Uhlenbrock,Stefan, Systems and methods for forming strontium-and/or barium-containing layers.
  462. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming tantalum oxide layers and tantalum precursor compounds.
  463. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming tantalum oxide layers and tantalum precursor compounds.
  464. Vaartstra,Brian A., Systems and methods for forming tantalum silicide layers.
  465. Vaartstra,Brian A., Systems and methods for forming zirconium and/or hafnium-containing layers.
  466. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using disilazanes.
  467. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using disilazanes.
  468. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using organic amines.
  469. Koh,Won yong; Lee,Chun soo, Thin film forming method.
  470. Cabral ; Jr. Cyril (Ossining NY) Colgan Evan G. (Suffern NY) Grill Alfred (White Plains NY), Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum.
  471. Tue Nguyen, Three-dimensional showerhead apparatus.
  472. Chen, San-Yuan; Hsieh, Wen-Feng; Ting, Chu-Chi, Titanium dioxide film co-doped with yttrium and erbium and method for producing the same.
  473. Samavedam, Srikanth B.; Hobbs, Christopher C.; Taylor, Jr., William J., Transistor having a high K dielectric and short gate length and method therefor.
  474. Forbes, Leonard, Transistor with nanocrystalline silicon gate structure.
  475. Friedenreich John P. ; Carstensen Robert K., Two-step nitride deposition.
  476. Zoran Krivokapic, Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication.
  477. Wang, Zhigang; Guo, Xin; He, Yue-Song, Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling.
  478. Halliyal, Arvind; Ramsbey, Mark T.; Zhang, Wei; Randolph, Mark W.; Cheung, Fred T. K., Use of high-K dielectric material in modified ONO structure for semiconductor devices.
  479. Halliyal, Arvind; Ramsbey, Mark T.; Chang, Kuo-Tung; Tripsas, Nicholas H.; Ogle, Robert B., Use of high-k dielectric materials in modified ONO structure for semiconductor devices.
  480. Atwell David R. (Boise ID) Westmoreland Donald L. (Boise ID), Vapor delivery system for solid precursors and method regarding same.
  481. Ohashi Tadashi,JPX ; Chaki Katuhiro,JPX ; Xin Ping,JPX ; Fujii Tatsuo,JPX ; Iwata Katsuyuki,JPX ; Mitani Shinichi,JPX ; Honda Takaaki,JPX, Vapor deposition apparatus and method for forming thin film.
  482. Forbes Leonard, Vertical bipolar read access for low voltage memory cell.
  483. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  484. Forbes, Leonard, Write once read only memory employing charge trapping in insulators.
  485. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphur containing holmium to reduce afterglow.
  486. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  487. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  488. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium silicon-oxynitride gate dielectric.
  489. Ahn,Kie Y.; Forbes,Leonard, Zr--Sn--Ti--O films.

이 특허를 인용한 특허 (23)

  1. Ahn, Kie Y.; Forbes, Leonard, Apparatus having a lanthanum-metal oxide semiconductor device.
  2. Ahn, Kie Y.; Forbes, Leonard, Conductive layers for hafnium silicon oxynitride.
  3. Ahn, Kie Y.; Forbes, Leonard, Conductive layers for hafnium silicon oxynitride films.
  4. Bhat, Vishwanath; Antonov, Vassil, Crystallographically orientated tantalum pentoxide and methods of making same.
  5. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  6. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  7. Ahn, Kie Y.; Forbes, Leonard, Gallium lanthanide oxide films.
  8. Ahn, Kie Y.; Forbes, Leonard, Gallium lanthanide oxide films.
  9. Ahn, Kie Y.; Forbes, Leonard, Gallium lathanide oxide films.
  10. Gealy, Dan; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric layers.
  11. Gealy, Dan; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric structures.
  12. Gealy, F. Daniel; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric structures.
  13. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Hafnium lanthanide oxynitride films.
  14. Ahn, Kie Y.; Forbes, Leonard, Hafnium tantalum titanium oxide films.
  15. Ahn, Kie Y.; Forbes, Leonard, Hafnium tantalum titanium oxide films.
  16. Ahn, Kie Y.; Forbes, Leonard, Hafnium tantalum titanium oxide films.
  17. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer.
  18. Ahn, Kie Y.; Forbes, Leonard, Methods of forming an insulating metal oxide.
  19. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Tantalum aluminum oxynitride high-K dielectric.
  20. Ahn, Kie Y.; Forbes, Leonard, Titanium aluminum oxide films.
  21. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  22. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  23. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로