$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-014/34
  • C23C-016/00
출원번호 UP-0861927 (2007-09-26)
등록번호 US-7670469 (2010-04-21)
발명자 / 주소
  • Ahn, Kie Y.
  • Forbes, Leonard
출원인 / 주소
  • Micron Technology, Inc.
대리인 / 주소
    Schwegman, Lundberg & Woessner, P.A.
인용정보 피인용 횟수 : 12  인용 특허 : 344

초록

In recent years, copper wiring has emerged as a promising substitute for the aluminum wiring in integrated circuits, because copper offers lower electrical resistance and better reliability at smaller dimensions than aluminum. However, use of copper typically requires forming a diffusion barrier to

대표청구항

What is claimed is: 1. A processing chamber for one or more integrated-circuit assemblies, comprising: a vacuum chamber including a substrate holder, at least one sputtering target, a plasma power source; a gas emission device selectively connected to a plurality of gaseous material sources, a heat

이 특허에 인용된 특허 (344)

  1. Wong Lawrence D., Air gap based low dielectric constant interconnect structure and method of making same.
  2. Bothra Subhas, Air gap dielectric in self-aligned via structures.
  3. Takaaki Ioka JP; Tsuneaki Tanabe JP, Alkoxysilane/organic polymer composition for thin insulating film production and use thereof.
  4. Grensing Fritz C. (Perrysburg OH) Marder James M. (Shaker Heights OH) Brophy Jere H. (Chagrin Falls OH), Aluminum alloys containing beryllium and investment casting of such alloys.
  5. Farrar Paul A., Aluminum based alloy bridge structure and method of forming same.
  6. Farrar, Paul A., Aluminum-beryllium alloys for air bridges.
  7. Grant Larry A. (Saratoga CA) Marder James M. (Shaker Heights OH) Wright Wayne L. (San Jose CA), Aluminum-beryllium alloys having high stiffness and low thermal expansion for memory devices.
  8. Bruni Marie-Dominique,FRX, Anode for a flat display screen.
  9. Thomas L. Ritzdorf ; Steve L. Eudy ; Gregory J. Wilson ; Paul R. McHugh, Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology.
  10. Chen LinLin, Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece.
  11. Chen, LinLin, Apparatus and method for electrolytically depositing a metal on a workpiece.
  12. Chen LinLin, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  13. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  14. Xu Zheng ; Forster John ; Yao Tse-Yong, Apparatus for filling apertures in a film layer on a semiconductor substrate.
  15. Ritzdorf, Thomas L.; Stevens, E. Henry; Chen, LinLin; Graham, Lyndon W.; Dundas, Curt, Apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device.
  16. Chan Lap ; Tee Kheng Chok,MYX ; Ong Kok Keng,SGX ; Seah Chin Hwee,SGX, Area array air gap structure for intermetal dielectric application.
  17. Singhvi Shri ; Rengarajan Suraj ; Ding Peijun ; Yao Gongda, Barrier applications for aluminum planarization.
  18. Hichem M'Saad ; Seon Mee Cho ; Dana Tribula, Barrier layer deposition using HDP-CVD.
  19. Andricacos Panayotis Constantinou ; Datta Madhav ; Horkans Wilma Jean ; Kang Sung Kwon ; Kwietniak Keith Thomas, Barrier layers for electroplated SnPb eutectic solder joints.
  20. Reynolds Glyn J. ; Hillman Joseph T., Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system.
  21. Beyer Klaus D. (Poughkeepsie NY) Ku San-Mei (Poughkeepsie NY) Silvestri Victor J. (Hopewell Junction NY) Yapsir Andrie S. (Pleasant Valley NY), Buried air dielectric isolation of silicon islands.
  22. Miyoshi Yosuke,JPX, CVD apparatus.
  23. Lu Jiong Ping ; Hwang Ming ; Anderson Dirk N. ; Kittl Jorge A. ; Tsai Hun-Lian, CVD-based process for manufacturing stable low-resistivity poly-metal gate electrodes.
  24. Takeuchi Yukihisa,JPX ; Kashiwaya Toshikatsu,JPX ; Takahashi Nobuo,JPX, Ceramic element, method for producing ceramic element, display device, relay device and capacitor.
  25. Farkas Janos ; Bajaj Rajeev ; Freeman Melissa ; Watts David K. ; Das Sanjit, Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers.
  26. Sandhu Gurtej S. ; Fazan Pierre, Chemical vapor deposition using organometallic precursors.
  27. Sandhu, Gurtej S.; Fazan, Pierre, Chemical vapor deposition using organometallic precursors.
  28. Shekhar Pramanick ; Kai Yang, Chemical-mechanical polishing of semiconductors.
  29. Lee Chung J. ; Wang Hui ; Foggiato Giovanni Antonio, Chemicals and processes for making fluorinated poly(para-xylylenes).
  30. Hurwitz Dror,ILX ; Yofis Boris,ILX ; Katz Dror,ILX ; Igner Eva,ILX, Chip carrier substrate.
  31. Buchwalter Leena P. ; Callegari Alessandro Cesare ; Cohen Stephan Alan ; Graham Teresita Ordonez ; Hummel John P. ; Jahnes Christopher V. ; Purushothaman Sampath ; Saenger Katherine Lynn ; Shaw Jane , Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same.
  32. Ochiai Toshimasa,JPX, Conduction assist member and manufacturing method of the same.
  33. Kaplo Joseph J. (Pittsford NY) Hoge William (Palmyra NY) Lund Craig (Lima NY), Conductive gasket with flame and abrasion resistant conductive coating.
  34. Tada Kenichi (Hyogo JPX), Conductive layer connection structure of semiconductor device.
  35. Ogino Tsutomu,JPX ; Komatsu Hiroto,JPX, Connector for display inspection of a liquid crystal display panel and method for the preparation thereof.
  36. Chung Kevin Kwong-Tai, Contact module, as for a smart card, and method for making same.
  37. Uzoh Cyprian E., Continuous highly conductive metal wiring structures and method for fabricating the same.
  38. Takahashi Hideya (Shizuoka JPX), Copper alloy for fine pattern lead frame.
  39. Harper James M. E. (Yorktown Heights NY) Holloway Karen L. (Mount Kisco NY) Kwok Thomas Y. (Westwood NJ), Copper alloy metallurgies for VLSI interconnection structures.
  40. Harper James M. E. (Yorktown Heights NY) Holloway Karen L. (Mount Kisco NY) Kwok Thomas Y. (Westwood NJ), Copper alloy metallurgies for VLSI interconnection structures.
  41. Douglas Monte A. (Coppell TX), Copper dry etch process using organic and amine radicals.
  42. Robinson Karl ; Taylor Ted, Copper electroless deposition on a titanium-containing surface.
  43. Robinson Karl ; Taylor Ted, Copper electroless deposition on a titanium-containing surface.
  44. Robinson Karl ; Taylor Ted, Copper electroless deposition on a titanium-containing surface.
  45. Edelstein Daniel Charles ; Harper James McKell Edwin ; Hu Chao-Kun ; Simon Andrew H. ; Uzoh Cyprian Emeka, Copper interconnection structure incorporating a metal seed layer.
  46. Farrar Paul A., Copper metallurgy in integrated circuits.
  47. Farrar, Paul A., Copper metallurgy in integrated circuits.
  48. Omura Masayoshi,JPX, Damascene wiring with flat surface.
  49. Zheng Bo ; Chen Ling ; Mak Alfred ; Chang Mei, Deposition of copper with increased adhesion.
  50. Hause Fred N. ; Bandyopadhyay Basab ; Dawson Robert ; Fulford ; Jr. H. Jim ; Michael Mark W. ; Brennan William S., Dissolvable dielectric method.
  51. Lou Chine-Gie,TWX ; Chen Hsueh-Chung,TWX, Dual damascene CMP process with BPSG reflowed contact hole.
  52. Zhao Bin ; Vasudev Prahalad K. ; Horwath Ronald S. ; Seidel Thomas E. ; Zeitzoff Peter M., Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer.
  53. Hsu Chen-Chung,TWX, Dual damascene manufacturing process.
  54. Chen Liang-Yuh ; Tao Rong ; Guo Ted ; Mosely Roderick Craig, Dual damascene metallization.
  55. Chen Liang-Yuh ; Tao Rong ; Guo Ted ; Mosely Roderick Craig, Dual damascene metallization.
  56. Wetzel Jeffrey Thomas, Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation.
  57. Bin Zhao ; Liming Tsau, Dual-damascene interconnect structures and methods of fabricating same.
  58. Klein, Rita J., Electroless deposition of doped noble metals and noble metal alloys.
  59. Shacham-Diamand Yosi ; Nguyen Vinh ; Dubin Valery, Electroless deposition of metal films with spray processor.
  60. Lee Chwan-Ying,TWX ; Huang Tzuen-Hsi,TWX, Electroless gold plating method for forming inductor structures.
  61. Alexander S. Kozlov ; Thirumalai Palanisamy ; Dave Narasimhan, Electroless silver plating.
  62. Nguyen Tue ; Charneski Lawrence J. ; Kobayashi Masato,JPX, Enhanced CVD copper adhesion by two-step deposition process.
  63. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  64. Xing Guoqiang ; Cerny Glenn A. ; Visokay Mark R., Etchstop for integrated circuits.
  65. Jung, Dong-Jin; Kim, Ki-Nam, Ferroelectric memory device having improved ferroelectric characteristics.
  66. Uchida Hiroto ; Soyama Nobuyuki ; Ogi Katsumi,JPX ; Scott Michael C.,AUX ; Cuchiaro Joseph D. ; McMillan Larry D. ; Paz de Araujo Carlos A., Ferroelectric/high dielectric constant integrated circuit and method of fabricating same.
  67. Tetsuo Matsuda JP; Hisashi Kaneko JP, Film formation method.
  68. Qing Tan ; Stanley Craig Beddingfield ; Douglas G. Mitchell, Fine pitch bumping with improved device standoff and bump volume.
  69. Andricacos Panayotis Constantinou ; Datta Madhav ; Deligianni Hariklia ; Horkans Wilma Jean ; Kang Sung Kwon ; Kwietniak Keith Thomas ; Mathad Gangadhara Swami ; Purushothaman Sampath ; Shi Leathen ;, Flip-Chip interconnections using lead-free solders.
  70. Hu, Chuan; Lu, Daoqiang, Fluxless die-to-heat spreader bonding using thermal interface material.
  71. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper and other metals.
  72. Farrar Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals.
  73. Farrar, Paul A., Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals.
  74. Kermani Ahmad (Fremont CA) Johnsgard Kristian E. (San Jose CA) Galewski Carl (Berkeley CA), Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure.
  75. Joshi Rajiv Vasant ; Tejwani Manu Jamnadas ; Srikrishnan Kris Venkatraman, High aspect ratio low resistivity lines/vias by surface diffusion.
  76. Campbell Gregor (Glendale CA) Conn Robert W. (Los Angeles CA) Shoji Tatsuo (Nagoya JPX), High density plasma deposition and etching apparatus.
  77. Jin Shu ; Mu Xiao Chun ; Chen Xing ; Bourget Lawrence, High density plasma physical vapor deposition.
  78. Thomas Michael E. (Cupertino CA) Chinn Jeffrey D. (Foster City CA), High performance interconnect system for an integrated circuit.
  79. Erb Darrell M., High planarity high-density in-laid metallization patterns by damascene-CMP processing.
  80. Farrar,Paul A., Hplasma treatment.
  81. Farnworth Warren M. ; Akram Salman, IC contacts with palladium layer and flexible conductive epoxy bumps.
  82. Prasad Jayasimha S. (Tigard OR) Park Song W. (Aloha OR) Vetanen William A. (Sherwood OR) Beers Irene G. (Sherwood OR) Haynes Curtis M. (Portland OR), Implant-free heterojunction bioplar transistor integrated circuit process.
  83. Allen Gregory Lee (Vancouver WA), Implantation of nucleating species for selective metallization and products thereof.
  84. Bedner Thomas R. ; Zuchowski Paul S., Integrated circuit and method of manufacture for avoiding damage by electrostatic charge.
  85. Farrar,Paul A., Integrated circuit and seed layers.
  86. Nogami, Takeshi, Integrated circuit chip with high-aspect ratio vias.
  87. Jin Changming ; Yamanaka Stacey ; List R. Scott, Integrated circuit dielectrics.
  88. Michael Armacost ; Peter D. Hoh ; David V. Horak ; Richard S. Wise, Integrated circuit having air gaps between dielectric and conducting lines.
  89. Robert H. Havemann ; Girish A. Dixit ; Manoj Jain ; Eden Zielinski ; Qi-Zhong Hong ; Jeffrey West, Integrated circuit interconnect and method.
  90. Ahn, Kie Y., Integrated circuit wiring with low RC time delay.
  91. Farrar Paul A., Integrated circuit with oxidation-resistant polymeric layer.
  92. Ting Chiu H. ; Holtkamp William H., Integrated vacuum and plating cluster system.
  93. Ingraham Anthony P. ; Kehley Glenn L. ; Sathe Sanjeev B. ; Slack John R., Integrated, multi-chip, thermally conductive packaging device and methodology.
  94. Zhao Bin, Interconnect structure and method employing air gaps between metal lines and between metal layers.
  95. Simpson Cindy Reidsema, Interconnect structure in a semiconductor device and method of formation.
  96. Nakano Tadashi (Chiba JPX) Ono Hideaki (Chiba JPX), Interconnection structure for semiconductor integrated circuit and manufacture of the same.
  97. Michael Mark W. ; Dawson Robert ; Hause Fred N. ; Bandyopadhyay Basab ; Fulford ; Jr. H. Jim ; Brennan William S., Interlevel dielectric with air gaps to reduce permitivity.
  98. Fang Peng ; Fatemi Homi, Interlevel dielectric with multiple air gaps between conductive lines of an integrated circuit.
  99. Masahiko Kobayashi JP; Hajime Sahase JP; Nobuyuki Takahashi JP, Ionization sputtering apparatus.
  100. Kobayashi Masahiko,JPX ; Takahashi Nobuyuki,JPX, Ionizing sputter device using a coil shield.
  101. Beyer Klaus D. (Poughkeepsie NY) Silvestri Victor J. (Hopewell Junction NY) Yapsir Andrie S. (Pleasant Valley NY), Isolated films using an air dielectric.
  102. Aitken John M. (Mahopac NY) Beyer Klaus D. (Poughkeepsie NY) Crowder Billy L. (Putnam Valley NY) Greco Stephen E. (Lagrangeville NY), Larce scale IC personalization method employing air dielectric structure for extended conductors.
  103. Mikalesen Donald J. (Carmel NY) Rossnagel Stephen M. (White Plains NY), Large area cathode lift-off sputter deposition device.
  104. Cheung Robin W. (Cupertino CA), Layered low dielectric constant technology.
  105. Sachdev Krishna Gandhi ; Hummel John Patrick ; Kamath Sundar Mangalore ; Lang Robert Neal ; Nendaic Anton ; Perry Charles Hampton ; Sachdev Harbans, Low TCE polyimides as improved insulator in multilayer interconnect structures.
  106. Wollesen Donald L., Low capacitance interconnection.
  107. Kapoor Ashok K. (Palo Alto CA) Pasch Nicholas F. (Pacifica CA), Low dielectric constant insulation layer for integrated circuit structure and method of making same.
  108. Jang Syun-Ming,TWX, Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines.
  109. Paul A. Farrar, Low dielectric constant shallow trench isolation.
  110. Buynoski Matthew S., Low dielectric semiconductor device with rigid, conductively lined interconnection system.
  111. Kwon Dong-chul,KRX ; Wee Young-Jin,KRX, Low resistance interconnect for a semiconductor device and method of fabricating the same.
  112. Shan Ende ; Lau Gorley ; Geha Sam, Low temperature metallization process.
  113. Farrar, Paul A., Mask on a polymer having an opening width less than that of the opening in the polymer.
  114. Kai Yang, Mask-less differential etching and planarization of copper films.
  115. Gardner Donald S., Metal alloy interconnections for integrated circuits.
  116. Ahila Krishnamoorthy ; David J. Duquette ; Shyam P. Murarka, Metallization structures for microelectronic applications and process for forming the structures.
  117. Ahila Krishnamoorthy ; David J. Duquette ; Shyam P. Murarka, Metallization structures for microelectronic applications and process for forming the structures.
  118. Brors Daniel L. (Los Altos Hills CA) Fair James A. (Mountain View CA) Monnig Kenneth A. (Palo Alto CA), Method and apparatus for deposition of tungsten silicides.
  119. Erb Darrell M. ; Avanzino Steven C. ; Wang Fei, Method and apparatus for improved planarity metallization by electroplating and CMP.
  120. Schmitt Jerome J. (265 College St. (12N) New Haven CT 06510), Method and apparatus for the deposition of solid films of a material from a jet stream entraining the gaseous phase of s.
  121. Tu, Kuo-Chi, Method and structure for a top plate design for making capacitor-top-plate to bit-line-contact overlay margin.
  122. Farrar Paul A., Method and support structure for air bridge wiring of an integrated circuit.
  123. Omstead Thomas R. ; Wongsenakhum Panya ; Messner William J. ; Nagy Edward J. ; Starks William ; Moslehi Mehrdad M., Method and system for dispensing process gas for fabricating a device on a substrate.
  124. Chan, Simon S., Method for avoiding fluorine contamination of copper interconnects.
  125. Filipiak Stanley M. (Pflugerville TX) Gelatos Avgerinos (Austin TX), Method for capping copper in semiconductor devices.
  126. Zhou Mei Sheng,SGX ; Ron-Fu Chu,SGX, Method for chemical-mechanical polish (CMP) planarizing of cooper containing conductor layers.
  127. Chou, Shih-Wei; Wu, Chii-Ming, Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance.
  128. Chen, Linlin; Wilson, Gregory J.; McHugh, Paul R.; Weaver, Robert A.; Ritzdorf, Thomas L., Method for electrochemically depositing metal on a semiconductor workpiece.
  129. Chen Linlin, Method for electrolytically depositing copper on a semiconductor workpiece.
  130. Svendsen Leo Gulvad (Redwood City CA) Walker Clifford James (Fremont CA) Lykins ; II James Leborn (San Jose CA), Method for electroplating a substrate containing an electroplateable pattern.
  131. Cherng Meng-Jaw,TWX ; Li Pei-Wen,TWX, Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices.
  132. Chang Weng,TWX ; Cheng Yao-Yi,TWX, Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections.
  133. Dubin Valery ; Ting Chiu, Method for fabricating copper-aluminum metallization.
  134. Mikagi Kaoru (Tokyo JPX), Method for fabricating semiconductor device with interconnections buried in trenches.
  135. Chiang Ming-Hsiung,TWX ; Chiang Wen-Chuan,TWX ; Wu Cheng-Ming,TWX, Method for fabricating small-size two-step contacts for word-line strapping on dynamic random access memory (DRAM).
  136. Levy Harold J. ; McGill Thomas C., Method for fabricating transistorless, multistable current-mode memory cells and memory arrays.
  137. Abt Norman E. (Burlingame CA) Moazzami Reza (Oakland CA) Nissan-Cohen Yoav (Zichren Ya\akov ILX), Method for forming a ceramic oxide capacitor having barrier layers.
  138. Sandhu Gurtej Sandhu (Boise ID) Yu Chris Chang (Aurora IL), Method for forming a metallization layer.
  139. Sundarrajan Arvind ; Saigal Dinesh, Method for forming a multilayered aluminum-comprising structure on a substrate.
  140. Matsumoto Shigeharu,JPX ; Kikuchi Kazuo,JPX, Method for forming a thin film of a metal compound by vacuum deposition.
  141. Farnworth Warren M. (Nampa ID) Akram Salman (Boise ID) Wood Alan G. (Boise ID), Method for forming contact pins for semiconductor dice and interconnects.
  142. Cooper Kent J. (Austin TX) Lin Jung-Hui (Austin TX) Roth Scott S. (Austin TX) Roman Bernard J. (Austin TX) Mazure Carlos A. (Austin TX) Nguyen Bich-Yen (Austin TX) Ray Wayne J. (Austin TX), Method for forming contact to a semiconductor device.
  143. Geusic Joseph E. ; Forbes Leonard ; Ahn Kie Y., Method for forming high capacitance memory cells.
  144. Ho Yu Q. (Kanata CAX) Jolly Gurvinder (Orleans CAX) Emesh Ismail T. (Cumberland CAX), Method for forming interconnect structures for integrated circuits.
  145. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  146. Fitzsimmons John A. (Poughkeepsie NY) Havas Janos (Hopewell Junction NY) Lawson Margaret J. (Newburgh NY) Leonard Edward J. (Fishkill NY) Rhoads Bryan N. (Pine Bush NY), Method for forming patterned films on a substrate.
  147. Iacoponi John A. ; Brown Dirk ; Nogami Takeshi, Method for forming semiconductor seed layers by high bias deposition.
  148. Iacoponi John A. ; Brown Dirk ; Nogami Takeshi, Method for forming semiconductor seed layers by inert gas sputter etching.
  149. Glew Alexander D. ; Johnson Andrew D. ; Rajagopalan Ravi ; Ghanayem Steve, Method for high rate deposition of tungsten.
  150. Tsunogae Yasuo (Kawasaki JPX) Mizuno Hideharu (Kawasaki JPX) Kohara Teiji (Kawasaki JPX) Natsuume Tadao (Yokosuka JPX), Method for hydrogenation of metathesis polymers.
  151. Sergey D. Lopatin, Method for low stress plating of semiconductor vias and channels.
  152. Tu, Kuo-Chi, Method for making auto-self-aligned top electrodes for DRAM capacitors with improved capacitor-to-bit-line-contact overlay margin.
  153. Farrar Paul A. ; Forbes Leonard, Method for making high-Q inductive elements.
  154. Yang Tsung-Ju,TWX ; Wang Chien-Mei,TWX ; Kang Tsung-Kuei,TWX, Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers.
  155. Sekiguchi Mitsuru,JPX, Method for making semiconductor device containing low carbon film for interconnect structures.
  156. Boeck Bruce Allen ; Wetzel Jeff Thomas ; Sparks Terry Grant, Method for manufacturing a low dielectric constant inter-level integrated circuit structure.
  157. Chung Jae-Hyuk,KRX, Method for manufacturing a thin film actuated mirror having a flat light reflecting surface.
  158. Barton Carlos L. (Brooklyn CT) McGraw Robert B. (Westport CT), Method for metallizing fluoropolymer substrates.
  159. Schmitt ; III Jerome J. (New Haven CT) Halpern Bret L. (Bethany CT), Method for microwave plasma assisted supersonic gas jet deposition of thin films.
  160. Jain Ajay, Method for preventing electroplating of copper on an exposed surface at the edge exclusion of a semiconductor wafer.
  161. Pan, Shing-Chyang; Lin, Keng-Chu; Chiou, Wen-Chih; Jeng, Shwang-Ming, Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers.
  162. Chen Sheng-Hsiung,TWX ; Tsai Ming-Hsing,TWX, Method for preventing seed layer oxidation for high aspect gap fill.
  163. Chakravorty Kishore K. (Issaquah WA) Tanielian Minas H. (Bellevue WA), Method for producing a planar surface on which a conductive layer can be applied.
  164. Murakami Takashi,JPX, Method for producing a semiconductor device and a semiconductor device.
  165. Lai Yeong-Chih,TWX ; Huang Chien-Chung,TWX ; Tsai Yu-Tai,TWX ; Wu Huang-Hui,TWX, Method for reducing critical dimension of dual damascene process using spin-on-glass process.
  166. Wang Tsing-Chow (San Jose CA) Liang Louis H. (Los Altos CA), Method of constructing termination electrodes on yielded semiconductor die by visibly aligning the die pads through a tr.
  167. Sergey D. Lopatin ; Carl Galewski ; Takeshi T. N. Nogami JP, Method of copper interconnect formation using atomic layer copper deposition.
  168. Fiordalice Robert ; Garcia Sam ; Ong T. P., Method of decreasing resistivity in an electrically conductive layer.
  169. Nogami Takeshi ; Dubin Valery ; Cheung Robin, Method of electroplating a copper or copper alloy interconnect.
  170. van Laarhoven Josephus M. F. G. (Eindhoven NLX) de Bruin Leendert (Eindhoven NLX) van Arendonk Anton P. M. (Eindhoven NLX), Method of enabling electrical connection to a substructure forming part of an electronic device.
  171. Paul A. Farrar, Method of fabricating a barrier layer associated with a conductor layer in damascene structures.
  172. Thomas Michael E. (Cupertino CA) Chinn Jeffrey D. (Foster City CA), Method of fabricating a high performance interconnect system for an integrated circuit.
  173. Tam Gordon (Chandler AZ) Granick Lisa R. (Philadelphia PA), Method of fabricating airbridge metal interconnects.
  174. Jing-Cheng Lin TW; Shau-Lin Shue TW; Chen-Hua Yu TW, Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process.
  175. Steven C. Avanzino ; Kai Yang ; Sergey Lopatin ; Todd P. Lukanc, Method of fabricating improved copper metallization including forming and removing passivation layer before forming capping film.
  176. Feldner Klaus, Method of fabricating integrated circuit interconnection employing tungsten/aluminum layers.
  177. Ahn Kie Y., Method of fabricating integrated circuit wiring with low RC time delay.
  178. Lee Robin,TWX, Method of fabricating metal interconnect structure having outer air spacer.
  179. Hsu Chen-Chung,TWX ; Chang Yih-Jau,TWX, Method of fabricating semiconductor device for preventing antenna effect.
  180. Mikagi Kaoru,JPX, Method of fabricating semiconductor device providing effective resistance against metal layer oxidation and diffusion.
  181. Wada Junichi,JPX ; Sakata Atsuko,JPX ; Katata Tomio,JPX ; Usui Takamasa,JPX ; Hasunuma Masahiko,JPX ; Shibata Hideki,JPX ; Kaneko Hisashi,JPX ; Hayasaka Nobuo,JPX ; Okumura Katsuya,JPX, Method of filling contact holes and wiring grooves of a semiconductor device.
  182. Ong Edith (Saratoga CA), Method of filling contacts in semiconductor devices.
  183. Gilton Terry L. ; Chopra Dinesh, Method of forming a metal seed layer for subsequent plating.
  184. Geffken Robert M. ; Luce Stephen E., Method of forming a self-aligned copper diffusion barrier in vias.
  185. Fitch Jon T. (Austin TX) Maniar Papu (Austin TX) Witek Keith E. (Austin TX) Gelatos Jerry (Austin TX) Moazzami Reza (Austin TX) Ajuria Sergio A. (Austin TX), Method of forming a semiconductor structure having an air region.
  186. Farrar Paul A., Method of forming a support structure for air bridge wiring of an integrated circuit.
  187. Venkatraman Ramnath ; Weitzman Elizabeth J. ; Fiordalice Robert W., Method of forming an interconnect structure.
  188. Chien Rong-Wu,TWX ; Yen Tzu-Shih,TWX, Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers.
  189. Soon-moon Jung KR; Sun-cheol Hong KR; Sang-eun Lee KR, Method of forming contact structure in a semiconductor device.
  190. Ashley Leon ; Dalal Hormazdyar M. ; Nguyen Du Binh ; Rathore Hazara S. ; Smith Richard G., Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity.
  191. Hong Qi-Zhong ; Jeng Shin-Puu ; Hsu Wei-Yung, Method of forming diffusion barriers encapsulating copper.
  192. Farrar Paul A., Method of forming foamed polymeric material for an integrated circuit.
  193. Buynoski Matthew S., Method of forming low dielectric semiconductor device with rigid, metal silicide lined interconnection system.
  194. Buynoski Matthew S., Method of forming low dielectric tungsten lined interconnection system.
  195. Ngo, Minh Van; Huertas, Robert A.; Hopper, Dawn, Method of forming low resistance vias.
  196. Choi Kyeong Keun (Ichonkun KRX), Method of forming metal interconnection layer of semiconductor device.
  197. Buynoski Matthew S. ; Lin Ming-Ren, Method of forming multiple levels of patterned metallization.
  198. Minh Van Ngo ; Shekhar Pramanick ; Takeshi Nogami, Method of forming reliable capped copper interconnects.
  199. Nag Somnath, Method of implementing air-gap technology for low capacitance ILD in the damascene scheme.
  200. Joshi Rajiv Vasant ; Tejwani Manu Jamnadas, Method of making a soft metal conductor.
  201. Pan Ju-Don T. (Austin TX), Method of making an electrical multilayer interconnect.
  202. Beinglass Israel ; Srinivas Ramanujapuram A., Method of making polysilicon/tungsten silicide multilayer composite on an integrated circuit structure.
  203. Khandros Igor Y., Method of making raised contacts on electronic components.
  204. Numata Ken (Dallas TX), Method of making reliable metal leads in high speed LSI semiconductors using both dummy leads and thermoconductive layer.
  205. Chino Toyoji (Osaka JPX) Matsuda Kenichi (Osaka JPX) Shibata Jun (Osaka JPX), Method of making semiconductor device with air-bridge interconnection.
  206. Suehiro Shintaro,JPX ; Akasaka Yasushi,JPX ; Suguro Kyoichi,JPX ; Nakajima Kazuaki,JPX ; Iijima Tadashi,JPX, Method of manufacturing a semiconductor device.
  207. Nakasaki Yasushi (Yokohama JPX), Method of manufacturing a semiconductor device with a copper wiring layer.
  208. Kondo Eiichi,JPX ; Takeyasu Nobuyuki,JPX ; Ohta Tomohiro,JPX ; Kawano Yumiko,JPX ; Kaizuka Takeshi,JPX ; Jinnouchi Shinpei,JPX, Method of manufacturing semiconductor device and an apparatus for manufacturing the same.
  209. Kaisaki David A. ; Kranz Heather K. ; Wood Thomas E. ; Hardy L. Charles, Method of planarizing the upper surface of a semiconductor wafer.
  210. Bang David, Method of producing air gap for reducing intralayer capacitance in metal layers in damascene metalization process and product resulting therefrom.
  211. Naik Mehul ; Broydo Samuel, Method of producing an interconnect structure for an integrated circuit.
  212. Iwasaki Haruo,JPX, Method of producing cylindrical storage node of stacked capacitor in memory cell.
  213. Canaperi Donald F. (Bridgewater CT) Jagannathan Rangarajan (Patterson NY) Krishnan Mahadevaiyer (Hopewell Junction NY), Method of replenishing electroless gold plating baths.
  214. Miyauchi Nobuaki (San Diego CA) Yonemasu Hiroshi (San Diego CA) Cho Bakji (San Diego CA), Method of using a contamination shield during the manufacture of EPROM semiconductor package windows.
  215. Zhou Mei Sheng,SGX ; Xu Guo-Qin,SGX ; Chan Lap, Method to deposit a platinum seed layer for use in selective copper plating.
  216. Doan Trung T. (Boise ID) Tuttle Mark E. (Boise ID), Method to form a low resistant bond pad interconnect.
  217. Mei-Sheng Zhou SG; Simon Chooi SG; Yi Xu SG, Method to form damascene interconnects with sidewall passivation to protect organic dielectrics.
  218. Uzoh Cyprian Emeka ; Greco Stephen Edward, Method to selectively fill recesses with conductive metal.
  219. Abraham Susan C., Methods and apparatus for etching semiconductor wafers.
  220. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  221. Ahn,Kie Y.; Forbes,Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  222. Kie Y. Ahn ; Leonard Forbes, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  223. Ahn Kie Y. ; Forbes Leonard ; Farrar Paul A., Methods and structures for metal interconnections in integrated circuits.
  224. Forbes Leonard ; Farrar Paul A. ; Ahn Kie Y., Methods and structures for silver interconnections in integrated circuits.
  225. Ahn Kie Y. ; Forbes Leonard, Methods for making copper and other metal interconnections in integrated circuits.
  226. Ahn,Kie Y.; Forbes,Leonard, Methods for making copper and other metal interconnections in integrated circuits.
  227. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  228. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  229. Valery Dubin, Methods for making interconnects and diffusion barriers in integrated circuits.
  230. Kwon Chul-soon,KRX, Methods of fabricating copper interconnects for integrated circuits.
  231. Carey David H. (Austin TX), Methods of forming channels and vias in insulating layers.
  232. Cha Sung W. (Cambridge MA) Suh Nam P. (Sudbury MA) Baldwin Daniel F. (Medford MA) Park Chul B. (Cambridge MA), Microcellular thermoplastic foamed with supercritical fluid.
  233. Cunningham Brian T. (Watertown MA) Richard Patricia V. (North Billerica MA), Microstructure and method of making such structure.
  234. Schmitt ; III Jerome J. (New Haven CT) Halpern Bret L. (Bethany CT), Microwave plasma assisted supersonic gas jet deposition of thin film materials.
  235. Nakano Hirofumi (Itami JPX), Multi-layer wiring.
  236. Morishita Yasuyuki (Tokyo JPX), Multi-layer wiring structure in semiconductor device and method for manufacturing the same.
  237. Lur Water (Taipei TWX) Wu Jiunn Y. (Don-Lio TWX), Multi-level conductor process in VLSI fabrication utilizing an air bridge.
  238. Ahn, Kie Y.; Forbes, Leonard; Eldridge, Jerome M., Multilevel copper interconnect with double passivation.
  239. Ahn,Kie Y.; Forbes,Leonard; Eldridge,Jerome M., Multilevel copper interconnect with double passivation.
  240. Grill, Alfred; Hedrick, Jeffrey Curtis; Jahnes, Christopher Vincent; Nitta, Satyanarayana Venkata; Petrarca, Kevin S.; Purushothaman, Sampath; Saenger, Katherine Lynn; Whitehair, Stanley Joseph, Multilevel interconnect structure containing air gaps and method for making.
  241. Havemann Robert H. (Garland TX) Jeng Shin-puu (Plano TX), Multilevel interconnect structure with air gaps formed between metal leads.
  242. Nicholas H. Tripsas ; Bhanwar Singh ; Michael K. Templeton, Non-charging critical dimension SEM metrology standard.
  243. Lukanc Todd P. ; Wang Fei ; Avanzino Steven C., Optimized trench/via profile for damascene filling.
  244. Lukanc Todd P. ; Wang Fei ; Avanzino Steven C., Optimized trench/via profile for damascene filling.
  245. Lukanc Todd P. ; Wang Fei ; Avanzino Steven C., Optimized trench/via profile for damascene filling.
  246. Lukanc Todd P. ; Wang Fei ; Avanzino Steven C., Optimized trench/via profile for damascene processing.
  247. Kim Edwin ; Nam Michael ; Cha Chris ; Yao Gongda ; Lee Sophia ; Dorleans Fernand ; Kohara Gene Y. ; Fu Jianming, Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers.
  248. Galewski, Carl J.; Sands, Claude A.; Velasco, Hector; Matthysse, Lawrence; Seidel, Thomas E., PECVD and CVD processes for WNx deposition.
  249. Hautala John J. ; Westendorp Johannes F. M., PECVD of TaN films from tantalum halide precursors.
  250. Ireland, Philip J.; Green, James E., Passivation integrity improvements.
  251. Agnello Paul D. ; Buchwalter Leena P. ; Hummel John ; Luther Barbara ; Stamper Anthony K., Plasma treatment to enhance inorganic dielectric adhesion to copper.
  252. Chow Yu C. (Irvine CA) Liao Kuan-Yang (Irvine CA) Chin Maw-Rong (Huntington Beach CA), Plasma-nitridated self-aligned tungsten system for VLSI interconnections.
  253. Jiang Tongbi ; King Jerrold L., Plastic lead frames for semiconductor devices.
  254. Jiang Tongbi ; King Jerrold L., Plastic lead frames for semiconductor devices, packages including same, and methods of fabrication.
  255. Ting Chiu ; Dubin Valery, Plated copper interconnect structure.
  256. Stevens E. Henry ; Berner Robert W., Process architecture and manufacturing tool sets employing hard mask patterning for use in the manufacture of one or more metallization levels on a workpiece.
  257. Havemann Robert H. ; Stoltz Richard A., Process for conductors with selective deposition.
  258. Stevens, E. Henry; Pfeiffer, Richard, Process for etching thin-film layers of a workpiece used to form microelectronic circuits or components.
  259. Misawa Nobuhiro (Kawasaki JPX), Process for fabricating integrated circuit devices.
  260. Cronin John E. (Milton VT) Lee Pei-ing P. (Williston VT), Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit.
  261. Jain Ajay, Process for forming a semiconductor device.
  262. Tobin Philip J. ; Hegde Rama I. ; Tseng Hsing-Huang ; O'Meara David ; Wang Victor, Process for forming a semiconductor device.
  263. Zhang Jiming ; Denning Dean J., Process for forming a semiconductor device.
  264. Fukuyama Toshihiko (Kawasaki JPX) Yanagisawa Shintaro (Kawasaki JPX), Process for forming metal and metal silicide films.
  265. Matthews James A. (878 Alcosta Dr. Milpitas CA 95035), Process for forming planarized, air-bridge interconnects on a semiconductor substrate.
  266. Zaidel Simon A. (Manlius NY) Alcorn Terrence S. (Liverpool NY) Kopp William F. (Liverpool NY) Pifer George C. (North Syracuse NY), Process for making air bridges for integrated circuits.
  267. Hurwitz Dror,ILX ; Yofis Boris,ILX ; Katz Dror,ILX ; Igner Eva,ILX, Process for manufacturing a chip carrier substrate.
  268. Hu Yongjun (Boise ID), Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium.
  269. Miura Takao,JPX ; Yamauchi Tunenori,JPX ; Monma Yoshinobu,JPX ; Goto Hiroshi,JPX, Process for manufacturing semiconductor devices separated by an air-bridge.
  270. Tokunaga Takafumi (Tokorozawa JPX) Tsuneoka Masatoshi (Ohme JPX) Mizukami Koichiro (Akishima JPX), Process for producing semiconductor integrated circuit device having copper interconnections and/or wirings, and device.
  271. Paul A. Farrar, Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy.
  272. Awaya Nobuyoshi (Isehara JPX) Arita Yoshinobu (Isehara JPX), Process for selectively growing thin metallic film of copper or gold.
  273. Ping-Chuan Wang ; Ronald G. Filippi ; Robert D. Edwards ; Edward W. Kiewra ; Roy C. Iggulden, Process of enclosing via for improved reliability in dual damascene interconnects.
  274. Hussein Makarem A., Process to manufacture continuous metal interconnects.
  275. Cheung Robin W. (Cupertino CA) Chang Mark S. (Los Altos CA), Processing techniques for achieving production-worthy, low dielectric, low dielectric, low interconnect resistance and h.
  276. Schacham-Diamand Yosef ; Dubin Valery M. ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K. ; Desilva Melvin, Protected encapsulation of catalytic layer for electroless copper interconnect.
  277. Kai Yang, Recess metallization via selective insulator formation on nucleation/seed layer.
  278. Nagao Makoto (Kanagawa JPX) Akashi Goro (Kanagawa JPX), Recording medium and method of performing recording/producing on the recording medium.
  279. Hsu Wei-Yung ; Hong Qi-Zhong, Reduced temperature contact/via filling.
  280. Joshi Rajiv V. (Yorktown Heights NY) Cuomo Jerome J. (Lincolndale NY) Dalal Hormazdyar M. (Milton NY) Hsu Louis L. (Fishkill NY), Refractory metal capped low resistivity metal conductor lines and vias.
  281. Numata Ken (Dallas TX) Houston Kay L. (Richardson TX), Reliability of metal leads in high speed LSI semiconductors using dummy vias.
  282. Numata Ken (Dallas TX) Houston Kay L. (Richardson TX), Reliability of metal leads in high speed LSI semiconductors using dummy vias.
  283. Zhao Bin (Austin TX) Vasudev Prahalad K. (Austin TX) Dubin Valery M. (Cupertino CA) Shacham-Diamand Yosef (Ithaca NY) Ting Chiu H. (Saratoga CA), Selective electroless copper deposited interconnect plugs for ULSI applications.
  284. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  285. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  286. Dennison Charles H. ; Doan Trung T., Self-aligned process for making contacts to silicon substrates during the manufacture of integrated circuits therein.
  287. Dubin Valery, Self-encapsulated copper metallization.
  288. Blalock Guy T. ; Howard Bradley J., Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures.
  289. Lawrence A. Clevenger ; Louis Lu-Chen Hsu, Semi-sacrificial diamond for air dielectric formation.
  290. Clampitt Darwin A., Semiconductor circuit interconnections and methods of making such interconnections.
  291. Klose Helmut,DEX ; Weber Werner,DEX ; Bertagnolli Emmerich,DEX ; Koppe Siegmar,DEX ; Hubner Holger,DEX, Semiconductor component for vertical integration and manufacturing method.
  292. Rennie John,JPX ; Hatakoshi Genichi,JPX, Semiconductor device.
  293. Usami, Tatsuya, Semiconductor device and manufacturing method thereof.
  294. IIjima Tadashi,JPX ; Ono Hisako,JPX ; Ushiku Yukihiro,JPX ; Nishiyama Akira,NLX ; Nakasa Naomi,JPX, Semiconductor device and method of manufacturing the same.
  295. Hughes Henry G. (Scottsdale AZ) Lue Ping-Chang (Scottsdale AZ) Robinson Frederick J. (Scottsdale AZ), Semiconductor device having a low permittivity dielectric.
  296. Xu Zheng ; Forster John ; Yao Tse-Yong, Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches.
  297. Marrs Robert C. (Scottsdale AZ), Semiconductor device having a thermal dissipator and electromagnetic shielding.
  298. Inoue Tomotoshi (Kanagawa JPX) Terada Toshiyuki (Tokyo JPX) Tomita Kenichi (Kanagawa JPX), Semiconductor device having an improved air-bridge lead structure.
  299. Usami Tatsuya,JPX ; Homma Tetsuya,JPX, Semiconductor device having an organic resin layer and silicon oxide layer containing fluorine for preventing crosstalk.
  300. Amishiro Hiroyuki,JPX ; Igarashi Motoshige,JPX, Semiconductor device including a plurality of interconnection layers.
  301. Tsukune Atsuhiro (Kawasaki JPX) Suzuki Kiyokatsu (Kawasaki JPX) Matsuura Katsuyoshi (Kawasaki JPX) Mieno Fumitake (Kawasaki JPX) Yamanishi Hirokazu (Kawasaki JPX), Semiconductor device manufacturing apparatus and its cleaning method.
  302. Sugai Kazumi,JPX, Semiconductor device manufacturing method.
  303. Suehiro Shintaro,JPX ; Akasaka Yasushi,JPX ; Suguro Kyoichi,JPX ; Nakajima Kazuaki,JPX ; Iijima Tadashi,JPX, Semiconductor device wiring or electrode.
  304. Maekawa Kazuyoshi,JPX, Semiconductor device with improved connection hole for embedding an electrically conductive layer portion.
  305. Sandhu, Gurtej S.; Fazan, Pierre, Semiconductor device with titanium silicon oxide layer.
  306. Tomita, Kenichi; Inoue, Tomotoshi; Terada, Toshiyuki, Semiconductor integrated circuit device having a hollow multi-layered lead structure.
  307. Pramanick Shekhar, Semiconductor interconnect barrier for fluorinated dielectrics.
  308. Iacoponi John A., Semiconductor interconnect interface processing by high temperature deposition.
  309. Pramanick Shekhar ; Brown Dirk ; Nogami Takeshi, Semiconductor interconnect interface processing by pulse laser anneal.
  310. Mercado, Lei L.; Sarihan, Vijay; Chung, Young Sir; Wang, James Jen-Ho; Prack, Edward R., Semiconductor power device and method of formation.
  311. Mercado, Lei L.; Sarihan, Vijay; Chung, Young Sir; Wang, James Jen-Ho; Prack, Edward R., Semiconductor power device with shear stress compensation.
  312. Fitch Jon T. (Austin TX) Maniar Papu (Austin TX) Witek Keith E. (Austin TX) Gelatos Jerry (Austin TX) Moazzami Reza (Austin TX) Ajuria Sergio A. (Austin TX), Semiconductor structure having an air region and method of forming the semiconductor structure.
  313. Chittipeddi Sailesh ; Merchant Sailesh Mansinh, Silicon IC contacts using composite TiN barrier layer.
  314. Yao Gongda ; Ding Peijun ; Xu Zheng ; Kieu Hoa, Silicon-doped titanium wetting layer for aluminum plug.
  315. Huang Richard J. (Milpitas CA) Hui Angela (Milpitas CA) Cheung Robin (Cupertino CA) Chang Mark (Los Altos CA) Lin Ming-Ren (Cupertino CA), Simplified dual damascene process for multi-level metallization and interconnection structure.
  316. 8437 ; 19920400 ; Kenna, Site-selective electrochemical deposition of copper.
  317. Kim Edwin ; Nam Michael ; Cha Chris ; Yao Gongda ; Lee Sophia ; Dorleans Fernand ; Kohara Gene Y. ; Fu Jianming, Sputter deposited barrier layers.
  318. Avanzino Steven C. ; Wang Fei, Sputter-resistant hardmask for damascene trench/via formation.
  319. Chiang Tony ; Ding Peijun ; Chin Barry L., Sputtering methods for depositing stress tunable tantalum and tantalum nitride films.
  320. Andoh Takeshi (Tokyo JPX), Static semiconductor memory device.
  321. Nishida Seiki,JPX ; Nakashima Junji,JPX ; Serikawa Osami,JPX ; Ochiai Ikuo,JPX, Steel wire of high strength excellent in fatigue characteristics.
  322. Kitch Vassili, Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures.
  323. Farrar, Paul A., Structures and methods to enhance copper metallization.
  324. Paul A. Farrar, Structures and methods to enhance copper metallization.
  325. Rathore Hazara S. ; Dalal Hormazdyar M. ; McLaughlin Paul S. ; Nguyen Du B. ; Smith Richard G. ; Swinton Alexander J. ; Wachnik Richard A., Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity.
  326. Ek Bruce A. (Pelham Manor NY) Iyer Subramanian S. (Yorktown Heights NY) Pitner Philip M. (Wappingers Falls NY) Powell Adrian R. (New Milford CT) Tejwani Manu J. (Yorktown Heights NY), Substrate for tensilely strained semiconductor.
  327. Baldwin Daniel F. (Medford MA) Suh Nam P. (Sudbury MA) Park Chul B. (Cambridge MA) Cha Sung W. (Cambridge MA), Supermicrocellular foamed materials.
  328. Farrar, Paul A., Surface barriers for copper and silver interconnects produced by a damascene process.
  329. Konecni Anthony J. ; Bolnedi Srikanth, System and method of forming a tungstein plug.
  330. Kholodenko Arnold ; Lee Ke Ling ; Shendon Maya ; Quiles Efrain, Temperature control system for semiconductor process chamber.
  331. Bartelink Dirk J. (13170 La Cresta Dr. Los Altos Hills CA 94022), Test fixtures for C4 solder-bump technology.
  332. Cabral ; Jr. Cyril (Ossining NY) Colgan Evan G. (Suffern NY) Grill Alfred (White Plains NY), Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum.
  333. Young Peter L. (South Barrington IL) Cech Jay (Elmhurst IL) Li Kin (Lombard IL), Thin-film electrical connections for integrated circuits.
  334. Kaeriyama Toshiyuki,JPX, Titanium nitride metal interconnection system and method of forming the same.
  335. Uzoh Cyprian E., Triple damascence tungsten-copper interconnect structure.
  336. Sandhu Gurtej S. (Boise ID), Tungsten silicide (WSix) deposition process for semiconductor manufacture.
  337. Isik C. Kizilyalli ; Sailesh M. Merchant ; Joseph R. Radosevich, Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability.
  338. Moslehi Mehrdad M., Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics.
  339. Dubin Valery M. (Cupertino CA) Schacham-Diamand Yosi (Ithaca NY) Zhao Bin (Irvine CA) Vasudev Prahalad K. (Austin TX) Ting Chiu H. (Saratoga CA), Use of cobalt tungsten phosphide as a barrier material for copper metallization.
  340. Farnworth Warren M. ; Akram Salman, Use of palladium in IC manufacturing.
  341. You, Lu; Hopper, Dawn M.; Ngo, Minh Van, Use of sion for preventing copper contamination of dielectric layer.
  342. Lur Water (Taipei TWX) Chen Ben (Chu-Tong TWX), VLSI device with global planarization.
  343. Jang Syun-Ming,TWX, Via formation in a poly(arylene ether) inter metal dielectric layer.
  344. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium silicon-oxynitride gate dielectric.

이 특허를 인용한 특허 (12)

  1. Kimura, Hajime, Liquid crystal display device and semiconductor device.
  2. Kimura, Hajime, Liquid crystal display device and semiconductor device.
  3. Kimura, Hajime, Liquid crystal display device and semiconductor device.
  4. Kimura, Hajime, Liquid crystal display device and semiconductor device.
  5. Kimura, Hajime, Liquid crystal display device and semiconductor device.
  6. Kimura, Hajime, Liquid crystal display device and semiconductor device.
  7. Kimura, Hajime, Liquid crystal display device and semiconductor device.
  8. Kimura, Hajime, Liquid crystal display device, semiconductor device, and electronic appliance.
  9. Kimura, Hajime, Liquid crystal display device, semiconductor device, and electronic appliance.
  10. Kimura, Hajime, Liquid crystal display device, semiconductor device, and electronic appliance.
  11. Kimura, Hajime, Liquid crystal display device, semiconductor device, and electronic appliance.
  12. Faguet, Jacques; Lee, Eric M., Vapor deposition system.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로