$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/00
출원번호 UP-0847721 (2007-08-30)
등록번호 US-7776697 (2010-09-06)
발명자 / 주소
  • Currie, Matthew T.
  • Lochtefeld, Anthony J.
  • Hammond, Richard
  • Fitzgerald, Eugene A.
출원인 / 주소
  • Taiwan Semiconductor Manufacturing Company, Ltd.
대리인 / 주소
    Slater & Matsil, L.L.P.
인용정보 피인용 횟수 : 5  인용 특허 : 161

초록

Semiconductor structures and devices including strained material layers having impurity-free zones, and methods for fabricating same. Certain regions of the strained material layers are kept free of impurities that can interdiffuse from adjacent portions of the semiconductor. When impurities are pre

대표청구항

What is claimed is: 1. A method for fabricating a semiconductor structure in a substrate, the method comprising the steps of: disposing at least one strained region on the substrate, thereby defining an interface therebetween, the at least one strained region having a distal zone away from the inte

이 특허에 인용된 특허 (161)

  1. Lung Hsing Lan,TWX ; Lu Tao Cheng,TWX ; Wang Mam Tsung,TWX, 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate.
  2. Jack Oon Chu ; Khalid Ezzeldin Ismail, Advance integrated chemical vapor deposition (AICVD) for semiconductor.
  3. Chu Jack Oon ; Ismail Khalid Ezzeldin, Advance integrated chemical vapor deposition (AICVD) for semiconductor devices.
  4. Kub Francis J. ; Temple Victor ; Hobart Karl ; Neilson John, Advanced methods for making semiconductor devices by low temperature direct bonding.
  5. Spratt David B. (Plano TX) Zorinsky Eldon J. (Plano TX) Virkus Robert L. (Garland TX) Bean Kenneth E. (Richardson TX) Yeakley Richard L. (Dallas TX), Anodizable strain layer for SOI semiconductor structures.
  6. Ohnishi, Teruhito; Asai, Akira, Bipolar transistor device having phosphorous.
  7. Chu Jack Oon ; Ismail Khalid Ezzeldin ; Lee Kim Yang ; Ott John Albrecht, Bulk and strained silicon on insulator using local selective oxidation.
  8. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  9. Fischer Hermann,DEX ; Hofmann Franz,DEX, CMOS integrated circuit including forming doped wells, a layer of intrinsic silicon, a stressed silicon germanium layer where germanium is between 25 and 50%, and another intrinsic silicon layer.
  10. Harame David L. (Mohegan Lake NY) Patton Gary L. (Poughkeepsie NY) Stork Maria C. (Yorktown Heights NY), Complementary bipolar transistor structure and method for manufacture.
  11. Wang Kang L. (Santa Monica CA) Woo Jason C. (Encino CA), Complementary field effect transistors having strained superlattice structure.
  12. Baca Albert G. (Albuquerque NM) Drummond Timothy J. (Albuquerque NM) Robertson Perry J. (Albuquerque NM) Zipperian Thomas E. (Albuquerque NM), Complementary junction heterostructure field-effect transistor.
  13. Ismail Khaled E. (Cairo NY EGX) Stern Frank (Pleasantville NY), Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers.
  14. Robert L. Thornton ; Christopher L. Chua, Compliant substrates for growing lattice mismatched films.
  15. Fitzgerald Eugene A., Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization.
  16. Fitzgerald Eugene A., Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization.
  17. Clyde M. Hughes, Device for transporting basket products.
  18. Bean Kenneth E. (Richardson TX) Malhi Satwinder S. (Garland TX) Runyan Walter R. (Dallas TX), Discretionary gettering of semiconductor circuits.
  19. Narayan Jagdish (Raleigh NC) Fan John C. C. (Chestnut Hill MA), Dislocation density reduction in gallium arsenide on silicon heterostructures.
  20. Chatterjee Amitava ; Chapman Richard A. ; Murtaza Syed Suhail, Disposable gate/replacement gate MOSFETs for sub-0.1 micron gate length and ultra-shallow junctions.
  21. James W. Adkisson ; John A. Bracchitta ; John J. Ellis-Monaghan ; Jerome B. Lasky ; Effendi Leobandung ; Kirk D. Peterson ; Jed H. Rankin, Double planar gated SOI MOSFET structure.
  22. Harari Eliyahou ; Guterman Daniel C. ; Samachisa George ; Yuan Jack H., Dual floating gate EEPROM cell array with steering gates shared adjacent cells.
  23. Tatau Nishinaga JP, ELO semiconductor substrate.
  24. Tomioka Yugo (Sagamihara JPX) Iwasa Shoichi (Sagamihara JPX) Sato Yasuo (Sagamihara JPX) Wada Toshio (Sagamihara JPX) Anzai Kenji (Sagamihara JPX), Electrically alterable n-bit per cell non-volatile memory with reference cells.
  25. Candelaria Jon J. (Tempe AZ), Enhanced mobility MOSFET device and method.
  26. Wu, Kenneth C.; Fitzgerald, Eugene A.; Borenstein, Jeffrey T., Etch stop layer system.
  27. Minoru Kubo JP; Katsuya Nozawa JP; Masakatsu Suzuki JP; Takeshi Uenoyama JP; Yasuhito Kumabuchi JP, FET having a Si/SiGeC heterojunction channel.
  28. Dennard Robert H. (Peekskill NY) Meyerson Bernard S. (Yorktown Heights NY) Rosenberg Robert (Peekskill NY), Fabrication of defect free silicon on an insulating substrate.
  29. Kub Francis J. ; Hobart Karl D., Fabrication ultra-thin bonded semiconductor layers.
  30. Mei Shaw-Ning ; Vishnesky Edward J., Flash memory structure with floating gate in vertical trench.
  31. Fitzgerald, Eugene A.; Hammond, Richard; Currie, Matthew, Gate technology for strained surface channel and strained buried channel MOSFET devices.
  32. Crabbe Emmanuel ; Meyerson Bernard Steele ; Stork Johannes Maria Cornelis ; Verdonckt-Vandebroek Sophie, Graded channel field effect transistor.
  33. Glen D. Wilk ; Robert M. Wallace, Hafnium nitride gate dielectric.
  34. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate.
  35. Mori Hideki,JPX ; Gomi Takayuki,JPX, Heterojunction bipolar semiconductor device.
  36. Endo Takahiko (Hino JPX) Katoh Riichi (Yokohama JPX), Heterojunction bipolar transistor.
  37. Forbes Leonard ; Noble Wendell P., High density flash memory.
  38. Shimomura Hiroshi,JPX ; Hirai Takehiro,JPX ; Hayashi Joji,JPX ; Nakamura Takashi,JPX, High frequency ring gate MOSFET.
  39. Furukawa Toshiharu ; Ellis-Monaghan John Joseph ; Slinkman James Albert, High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe.
  40. Jack Oon Chu ; Richard Hammond ; Khalid EzzEldin Ismail ; Steven John Koester ; Patricia May Mooney ; John A. Ott, High speed composite p-channel Si/SiGe heterostructure for field effect devices.
  41. Brigham Lawrence N. (Beaverton OR) Lee Yung-Huei (Sunnyvale CA) Chau Robert S. (Beaverton OR) Cotner Raymond E. (Beaverton OR), High tensile nitride layer.
  42. Wong Chun Chiu D., Highly compact memory device with nonvolatile vertical transistor memory cell.
  43. Wendell P. Noble ; Leonard Forbes, Highly conductive composite polysilicon gate for CMOS integrated circuits.
  44. Broekaert Tom P. E., III-V nitride resonant tunneling.
  45. Yoshimi Makoto (Tokyo JPX) Inaba Satoshi (Tokyo JPX) Murakoshi Atsushi (Tokyo JPX) Terauchi Mamoru (Tokyo JPX) Shigyo Naoyuki (Tokyo JPX) Matsushita Yoshiaki (Tokyo JPX) Aoki Masami (Tokyo JPX) Hamam, Insulated-gate transistor having narrow-bandgap-source.
  46. Lustig Bernhard,DEX ; Schaefer Herbert,DEX ; Franosch Martin,DEX, Integrated CMOS circuit arrangement and method for the manufacture thereof.
  47. Muller Heinrich G., Inverted layer epitaxial liftoff process.
  48. Ma, Qing; Lee, Jin; Fujimoto, Harry; Dai, Changhong; Lee, Shiuh-Wuu; Eiles, Travis; Seshan, Krishna, Isolation structure configurations for modifying stresses in semiconductor devices.
  49. Galli Carol (Odenton MD) Hsu Louis L. (Fishkill NY) Ogura Seiki (Hopewell Junction NY) Shepard Joseph F. (Hopewell Junction NY), Isolation structure using liquid phase oxide deposition.
  50. Arthur A. Karpinski, Laser diode apparatus with support structure.
  51. Rodder Mark S. ; Liu William U., Lateral MOSFET having a barrier between the source/drain region and the channel region using a heterostructure raised source/drain region.
  52. Thompson Scott ; Bohr Mark T. ; Packan Paul A., Low damage doping technique for self-aligned source and drain regions.
  53. Legoues Francoise Kolmer (Peekskill NY) Meyerson Bernard Steele (Yorktown Heights NY), Low defect density/arbitrary lattice constant heteroepitaxial layers.
  54. Bhat Rajaram (Red Bank NJ) Lo Yu-hwa (Ithaca NY), Low-temperature fusion of dissimilar semiconductors.
  55. Ronald Dekker NL; Cornelis E. Timmering NL; Doede Terpstra NL; Wiebe B. De Boer NL, Manufacture of a semiconductor device with an epitaxial semiconductor zone.
  56. Meyerson Bernard S. (Yorktown Heights NY), Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers.
  57. Henley Francois J. ; Cheung Nathan W., Method for controlled cleaving process.
  58. Kub Francis J. ; Hobart Karl D., Method for fabricating singe crystal materials over CMOS devices.
  59. Liaw Hang Ming ; Burt Curtis Lee ; Hong Stella Q. ; Stein Clifford P., Method for forming a semiconductor device having a heteroepitaxial layer.
  60. Pfiester James R. (Austin TX) Kirsch Howard C. (Austin TX), Method for forming isolation regions in a semiconductor device.
  61. Jung-Ho Lee KR, Method for forming semiconductor device having epitaxial channel layer using laser treatment.
  62. Nakato Tatsuo, Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant.
  63. Brasen Daniel (Lake Hiawatha NJ) Fitzgerald ; Jr. Eugene A. (Bridgewater NJ) Green Martin L. (New Providence NJ) Xie Ya-Hong (Flemington NJ), Method for making low defect density semiconductor heterostructure and devices made thereby.
  64. Selvakumar Chettypalayam R. (Waterloo CAX) Chamberlain Savvas G. (Waterloo CAX), Method for making silicon-germanium devices using germanium implantation.
  65. Matsui Masaki,JPX ; Yamauchi Shoichi,JPX ; Ohshima Hisayoshi,JPX ; Onoda Kunihiro,JPX ; Asai Akiyoshi,JPX ; Sasaya Takanari,JPX ; Enya Takeshi,JPX ; Sakakibara Jun,JPX, Method for manufacturing a semiconductor substrate.
  66. Yang Jiuun-Jer,TWX, Method for manufacturing buried-channel PMOS.
  67. Kibbel Horst,DEX ; Kuchenbecker Jessica,DEX, Method for producing epitaxial silicon germanium layers.
  68. Lo Yu-Hwa ; Ejeckam Felix, Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates.
  69. Kant Shree, Method for sizing PMOS pull-up devices.
  70. Goesele Ulrich M. ; Tong Q.-Y., Method for the transfer of thin layers of monocrystalline material to a desirable substrate.
  71. Mori Kazuo,JPX, Method of bonding a III-V group compound semiconductor layer on a silicon substrate.
  72. Grupen-Shemansky Melissa E. (Phoenix AZ) Cambou Bertrand F. (Mesa AZ), Method of bonding silicon and III-V semiconductor materials.
  73. Meyerson Bernard S. (Yorktown Heights NY), Method of dopant enhancement in an epitaxial silicon layer by using germanium.
  74. Gardner Mark I. ; Fulford H. Jim ; Wristers Derick J., Method of fabricating a transistor with a dielectric underlayer and device incorporating same.
  75. Mohammad S. Noor (Hopewell Junction NY), Method of fabricating a triple heterojunction bipolar transistor.
  76. Moslehi Mehrdad M. (Dallas TX), Method of fabricating an high-performance insulated-gate field-effect transistor.
  77. Dennard Robert H. (Peekskill NY) Meyerson Bernard S. (Yorktown Heights NY) Rosenberg Robert (Peekskill NY), Method of fabricating defect-free silicon on an insulating substrate.
  78. Lynch William T. (Apex NC) Wang Kang L. (Santa Monica CA) Tanner Martin O. (Duarte CA), Method of fabricating quantum bridges by selective etching of superlattice structures.
  79. Fiorini Paolo,BEX ; Sedky Sherif,EGX ; Caymax Matty,BEX ; Baert Christiaan,BEX, Method of fabrication of an infrared radiation detector and infrared detector device.
  80. Dae Hee Weon KR; Seung Ho Hahn KR, Method of forming an EPI-channel in a semiconductor device.
  81. Tejwani Manu J. (Yorktown Heights NY) Iyer Subramanian S. (Yorktown Heights NY), Method of forming an ultra-uniform silicon-on-insulator layer.
  82. Gardner Mark I. ; Nguyen Thien T., Method of forming an ultrathin gate dielectric.
  83. Ohori Tatsuya (Kawasaki JPX), Method of growing compound semiconductor.
  84. Doyle Brian S. ; Roberds Brian ; Lee Jin, Method of increasing the mobility of MOS transistors by use of localized stress regions.
  85. Doering Robert R. (Plano TX), Method of making MOS VLSI semiconductor device with metal gate and clad source/drain.
  86. Hsieh Chang-Ming (Fishkill NY) Hsu Louis L. C. (Fishkill NY) Ogura Seiki (Hopewell Junction NY), Method of making a vertical gate transistor with low temperature epitaxial channel.
  87. Gardner Mark I. ; Fulford H. Jim ; Wristers Derick J., Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication.
  88. Naruse Hiroshi (Yokohama JPX), Method of manufacturing a bonded semiconductor substrate and a dielectric isolated bipolar transistor.
  89. Godbey David J. (Burtonsville MD), Method of producing a silicon membrane using a silicon alloy etch stop layer.
  90. Godbey David J. (Bethesda MD) Hughes Harold L. (West River MD) Kub Francis J. (Severna Park MD), Method of producing a thin silicon-on-insulator layer.
  91. Kern Rim, Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation.
  92. Chang Chun-Yen,TWX ; Lei Tan-Fu,TWX ; Lin Hsiao-Yi,TWX ; Cheng Juing-Yi,TWX, Method to fabricate the thin film transistor.
  93. Jia Zhen Zheng SG; Lap Chan ; Elgin Quek SG; Ravi Sundaresan ; Yang Pan SG; James Yong Meng Lee SG; Ying Keung Leung HK; Yelehanka Ramachandramurthy Pradeep SG, Method to form very high mobility vertical channel transistor by selective deposition of SiGe or multi-quantum wells (MQWs).
  94. Liu, Kaiping, Method to produce localized halo for MOS transistor.
  95. Liu,Kaiping, Method to produce localized halo for MOS transistor.
  96. Gehrke Thomas ; Linthicum Kevin J. ; Davis Robert F., Methods of forming a plurality of semiconductor layers using spaced trench arrays.
  97. Dmbkes Heinrich (Ulm DEX) Herzog Hans-J. (Neu-Ulm DEX) Jorke Helmut (Gerstetten DEX), Modulation doped field effect transistor with doped SixGe1-x-intrinsic Si layering.
  98. Fattaruso John W., Monolithic inductor with guard rings.
  99. Yuhzoh Tsuda JP; Takayuki Yuasa JP, Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device.
  100. Otto Joachim (Unterschleisseheim DEX), Non-volatile memory cell.
  101. Howe Roger T. ; Franke Andrea ; King Tsu-Jae, Polycrystalline silicon germanium films for forming micro-electromechanical systems.
  102. Kim Bumman (Richardson TX) Tserng Hua Q. (Dallas TX), Power MISFET.
  103. Henley Francois J. ; Cheung Nathan W., Pre-semiconductor process implant and post-process film separation.
  104. Levine Barry Franklin ; Pinzone Christopher James, Process for bonding crystalline substrates with different crystal lattices.
  105. Pfiester James R. (Austin TX), Process for fabricating a silicon on insulator field effect transistor.
  106. Bensahel Daniel,FRX ; Campidelli Yves,FRX ; Hernandez Caroline,FRX ; Rivoire Maurice,FRX, Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively.
  107. Kondo Shigeki (Hiratsuka JPX) Matsumoto Shigeyuki (Atsugi JPX) Ishizaki Akira (Atsugi JPX) Inoue Shunsuke (Yokohama JPX) Nakamura Yoshio (Atsugi JPX), Process for preparing semiconductor substrate by bonding to a metallic surface.
  108. Ruehrwein Robert A. (67 Hilton Ave. Garden City NY 11530), Process for production of III-V compound crystals.
  109. Bruel Michel (Veurey FRX), Process for the production of thin semiconductor material films.
  110. Ek Bruce A. ; Iyer Subramanian Srikanteswara ; Pitner Philip Michael ; Powell Adrian R. ; Tejwani Manu Jamndas, Production of substrate for tensilely strained semiconductor.
  111. Braithwaite, Glyn; Hammond, Richard; Currie, Matthew, RF circuits including transistors having strained material layers.
  112. Gambino Jeffrey P. ; Halle Scott ; Mandelman Jack A. ; Stephens Jeremy K., Raised source/drain using recess etch of polysilicon.
  113. Fitzgerald Eugene A. ; Bulsara Mayank T., Relaxed InxGa(1-x)as buffers.
  114. Chan Kevin Kok ; Chu Jack Oon ; Ismail Khalid EzzEldin,EGX ; Rishton Stephen Anthony ; Saenger Katherine Lynn, Scalable MOS field effect transistor.
  115. Kamins Theodore I. (Palo Alto) Noble David B. (Sunnyvale) Hoyt Judy L. (Palo Alto) Gibbons James F. (Palo Alto) Scott Martin P. (San Francisco CA), Selective and non-selective deposition of Si1-xGex on a Si subsrate that is partially maske.
  116. Ozturk Mehmet C. (Cary NC) Grider Douglas T. (Raleigh NC) Sanganeria Mahesh K. (Raleigh NC) Ashburn Stanton P. (Cary NC), Selective deposition of doped silion-germanium alloy on semiconductor substrate.
  117. Ozturk Mehmet (Cary NC) Wortman Jimmie (Chapel Hill NC) Grider Douglas (Raleigh NC), Selective germanium deposition on silicon and resulting structures.
  118. Karl Brunner DE; Karl Eberl DE, Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates.
  119. Kudo Makoto (Hachiouji JPX) Mishima Tomoyoshi (Shiki JPX) Tanimoto Takuma (Kokubunji JPX) Sagawa Misuzu (Kokubunji JPX), Semiconductor crystalline laminate structure, forming method of the same, and semiconductor device employing the same.
  120. Shinichi Takagi JP, Semiconductor device.
  121. Sugiyama Naoharu,JPX ; Kurobe Atsushi,JPX, Semiconductor device and memory device.
  122. Katsuhiko Hieda JP; Kyoichi Suguro JP, Semiconductor device and method of manufacturing the same.
  123. Tsutomu Tezuka JP, Semiconductor device and method of manufacturing the same.
  124. Otani Naoko,JPX ; Katayama Toshiharu,JPX, Semiconductor device comprising trench EEPROM.
  125. Murthy Anand ; Jan Chia-Hong ; Andideh Ebrahim ; Weldon Kevin, Semiconductor device having deposited silicon regions and a method of fabrication.
  126. Sugiyama Naoharu,JPX ; Mizuno Tomohisa,JPX ; Takagi Shinichi,JPX ; Kurobe Atsushi,JPX, Semiconductor devices and methods for producing semiconductor devices.
  127. Fitzgerald ; Jr. Eugene A. (Bridgewater NJ), Semiconductor devices with low dislocation defects.
  128. Brasen Daniel (Lake Hiawatha NJ) Fitzgerald ; Jr. Eugene A. (Bridgewater NJ) Green Martin L. (New Providence NJ) Monroe Donald P. (Berkeley Heights NJ) Silverman Paul J. (Millburn NJ) Xie Ya-Hong (Fl, Semiconductor heterostructure devices with strained semiconductor layers.
  129. Kauffmann Bruce A. (Jericho VT) Lam Chung H. (Williston VT) Lasky Jerome B. (Essex Junction VT), Semiconductor memory cell and memory array with inversion layer.
  130. Nakagawa Kiyokazu (Sayama JPX) Nishida Akio (Misato JPX) Shimada Toshikazu (Kokubunji JPX), Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same.
  131. Currie, Matthew; Lochtefeld, Anthony; Hammond, Richard; Fitzgerald, Eugene, Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same.
  132. Murthy, Anand S.; Doyle, Brian S.; Roberds, Brian E., Semiconductor transistor having a backfilled channel material.
  133. Murthy, Anand; Chau, Robert S.; Ghani, Tahir; Mistry, Kaizad R., Semiconductor transistor having a stressed channel.
  134. Chuang K. J.,TWX ; Lui H. S.,TWX, Separated floating gate for EEPROM application.
  135. Sugiura Yoshihisa,JPX ; Iwata Yoshihisa,JPX ; Watanabe Hiroshi,JPX, Shielded bit line sensing scheme for nonvolatile semiconductor memory.
  136. Schmitz Jurriaan,NLX ; Woerlee Pierre H.,NLX, Si-Ge CMOS semiconductor device.
  137. Imai Seiji,JPX ; Hiraoka Yoshiko,JPX ; Kurobe Atsushi,JPX ; Sugiyama Naoharu,JPX ; Tezuka Tsutomu,JPX, Si-SiGe semiconductor device and method of fabricating the same.
  138. Ismail Khalid EzzEldin ; Meyerson Bernard S., Si/SiGe vertical junction field effect transistor.
  139. Burghartz Joachim N. (Shrub Oak NY) Meyerson Bernard S. (Yorktown Heights NY) Sun Yuan-Chen (Katonah NY), SiGe thin film or SOI MOSFET and method for making the same.
  140. Soref Richard A. ; Friedman Lionel R., Silicon-based strain-symmetrized GE-SI quantum lasers.
  141. Huang Feng-Yi, Silicon-germanium bicmos on soi.
  142. Robinson McDonald ; Westhoff Richard C. ; Hunt Charles E. ; Ling Li, Silicon-germanium-carbon compositions in selective etch processes.
  143. Ismail Khalid EzzEldin ; Meyerson Bernard S., Single-transistor logic and CMOS inverters.
  144. Chu Jack Oon ; Ismail Khalid EzzEldin, Strained Si/SiGe layers on insulator.
  145. Chu Jack Oon ; Ismail Khalid EzzEldin, Strained Si/SiGe layers on insulator.
  146. Wang, Haihong; Xiang, Qi, Strained silicon MOSFET having silicon source/drain regions and method for its fabrication.
  147. Xiang, Qi; Paton, Eric N.; Wang, Haihong, Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication.
  148. Ek Bruce A. (Pelham Manor NY) Iyer Subramanian S. (Yorktown Heights NY) Pitner Philip M. (Wappingers Falls NY) Powell Adrian R. (New Milford CT) Tejwani Manu J. (Yorktown Heights NY), Substrate for tensilely strained semiconductor.
  149. Roberds, Brian; Doyle, Brian S., Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel.
  150. Doyle Brian S. ; Roberds Brian ; Lee Jin, Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering.
  151. Bertin Claude L. (South Burlington VT) DiMaria Donelli J. (Ossining NY) Miyakawa Makoto (Tokyo JPX) Sakaue Yoshinori (Tokyo JPX), Three-dimensional direct-write EEPROM arrays and fabrication methods.
  152. Murakami Eiichi (Fuchu JPX) Nakagawa Kiyokazu (Sayama JPX) Ohshima Takashi (Fuchu JPX) Eto Hiroyuki (Kokubunji JPX) Miyao Masanobu (Tokorozawa JPX), Transistor provided with strained germanium layer.
  153. Chau Robert S. ; Chern Chan-Hong ; Jan Chia-Hong ; Weldon Kevin R. ; Packan Paul A. ; Yau Leopoldo D., Transistor with ultra shallow tip and method of fabrication.
  154. Chau Robert S. ; Chern Chan-Hong ; Jan Chia-Hong ; Weldon Kevin R. ; Packan Paul A. ; Yau Leopoldo D., Transistor with ultra shallow tip and method of fabrication.
  155. Lin Chih-Hung,TWX ; Lee Robin,TWX, Trench flash memory with nitride spacers for electron trapping.
  156. Mohammad S. Noor (Hopewell Junction NY), Triple heterojunction bipolar transistor.
  157. Bartelink Dirk J., Vertical CMOS digital multi-valued restoring logic device.
  158. Augusto Carlos Jorge Ramiro Proenca,BEX, Vertical MISFET devices.
  159. Hsieh Chang-Ming (Fishkill NY) Hsu Louis L. C. (Fishkill NY) Ogura Seiki (Hopewell Junction NY), Vertical gate transistor with low temperature epitaxial channel.
  160. Favors ; Jr. Wesley ; MacDonald Eric William ; Mukherjee Subir ; Warriner Lynn Albert, Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS.
  161. Fransis Bert L. ; O'Connor John Francis, Wideband, variable gain amplifier.

이 특허를 인용한 특허 (5)

  1. Cheng, Kangguo; Adam, Thomas N.; Khakifirooz, Ali; Reznicek, Alexander, Method and structure for forming ETSOI capacitors, diodes, resistors and back gate contacts.
  2. Cheng, Kangguo; Doris, Bruce B.; Khakifirooz, Ali; Shahidi, Ghavam, Method and structure for forming on-chip high quality capacitors with ETSOI transistors.
  3. Cheng, Kangguo; Doris, Bruce B.; Khakifirooz, Ali; Shahidi, Ghavam, Method and structure for forming on-chip high quality capacitors with ETSOI transistors.
  4. Hong, Jason; Tang, Daniel, Method for manufacturing a semiconductor device with less leakage current induced by carbon implant.
  5. Jain, Amitabh; Riley, Deborah J., Strain-engineered MOSFETs having rimmed source-drain recesses.

문의처: helpdesk@kisti.re.kr전화: 080-969-4114

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로