$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Gas delivery apparatus for atomic layer deposition 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/00
  • H01L-021/306
출원번호 UP-0077753 (2005-03-11)
등록번호 US-7780788 (2010-09-13)
발명자 / 주소
  • Chen, Ling
  • Ku, Vincent
  • Wu, Dien-Yeh
  • Chung, Hua
  • Ouye, Alan
  • Nakashima, Norman
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Patterson & Sheridan, LLP
인용정보 피인용 횟수 : 7  인용 특허 : 344

초록

Apparatus and method for forming thin layers on a substrate are provided. A processing chamber has a gas delivery assembly that comprises a lid with a cap portion and a covering member that together define an expanding channel at a central portion of the lid, the covering member having a tapered bot

대표청구항

The invention claimed is: 1. A chamber for processing substrates, comprising: a substrate support having a substrate receiving surface; a chamber lid comprising: an expanding channel at a central portion of the chamber lid; a tapered bottom surface extending from the expanding channel to a peripher

이 특허에 인용된 특허 (344)

  1. Gurtej Sandhu ; Garo J. Derderian, ALD method to improve surface coverage.
  2. Chiang, Tony P.; Leeser, Karl F.; Brown, Jeffrey A.; Babcoke, Jason E., Adsorption process for atomic layer deposition.
  3. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices.
  4. Ofer Sneh ; Carl J. Galewski, Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition.
  5. Sneh Ofer ; Galewski Carl J., Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition.
  6. Steger Robert J. ; Redeker Fred C., Apparatus and method for cleaning of semiconductor process chamber surfaces.
  7. Hillman, Joseph T.; Yasar, Tugrul; Kubo, Kenichi; Vezin, Vincent; Yamasaki, Hideaki; Kojima, Yasuhiko; Kawano, Yumiko; Yoshikawa, Hideki, Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber.
  8. Park, Young-Hoon, Apparatus and method for depositing thin film on wafer using atomic layer deposition.
  9. Wendling, Thomas P. H. F., Apparatus and method for evenly flowing processing gas onto a semiconductor wafer.
  10. Jallepally, Ravi; Li, Shih-Hung; Duboust, Alain; Zhao, Jun; Chen, Liang-Yuh; Carl, Daniel A., Apparatus and method for fast-cycle atomic layer deposition.
  11. Raaijmakers, Ivo, Apparatus and method for growth of a thin film.
  12. Chen,Ling; Ku,Vincent W.; Chang,Mei; Wu,Dien Yeh; Chung,Hua, Apparatus and method for hybrid chemical processing.
  13. Chen,Chen An; Gelatos,Avgerinos; Yang,Michael X.; Xi,Ming; Hytros,Mark M., Apparatus and method for plasma assisted deposition.
  14. Lei, Lawrence C., Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition.
  15. Yoder Max N. (Falls Church VA), Apparatus for and a method of growing thin films of elemental semiconductors.
  16. Scholz Christoph (Schliersee DEX), Apparatus for atomic layer epitaxial growth.
  17. Wittstock Gerhard (Uetersen DEX), Apparatus for controlling operation of a lapping, honing or polishing machine.
  18. Thakur,Randhir P. S.; Mak,Alfred W.; Xi,Ming; Glenn,Walter Benjamin; Khan,Ahmad A.; Al Shaikh,Ayad A.; Gelatos,Avgerinos V.; Umotoy,Salvador P., Apparatus for cyclical deposition of thin films.
  19. Stall Richard A. (Warren NJ) Tompa Gary S. (Somerville NJ) Gurary Alexander (Bridgewater NJ) Nelson Craig R. (Berkeley Heights NJ), Apparatus for depositing a coating on a substrate.
  20. Conger Darrell R. (Portland OR) Posa John G. (Lake Oswego OR) Wickenden Dennis K. (Lake Oswego OR), Apparatus for depositing material on a substrate.
  21. Choi Won-sung,KRX ; Lee Sang-jin,KRX, Apparatus for depositing thin films on semiconductor wafer by continuous gas injection.
  22. Choi Won-sung,KRX ; Oh Kyu-un,KRX, Apparatus for depositing thin films on semiconductor wafers.
  23. Kim Yong II,KRX ; Shin Joong Ho,KRX ; Yun Yeo Heung,KRX, Apparatus for deposition of thin films on wafers through atomic layer epitaxial process.
  24. Eres Djula (Knoxville TN) Sharp Jeffrey W. (Knoxville TN), Apparatus for externally controlled closed-loop feedback digital epitaxy.
  25. Bondestam, Niklas; Kesala , Janne; Keto, Leif; Soininen, Pekka T., Apparatus for fabrication of thin films.
  26. Kilpi, Vaino, Apparatus for growing thin films.
  27. Pekka T. Soininen FI; Vaino Kilpi FI, Apparatus for growing thin films.
  28. Soininen Pekka,FIX ; Patteri Janne,FIX, Apparatus for growing thin films.
  29. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Apparatus for performing growth of compound thin films.
  30. Imahashi Issei (Yamanashi JPX), Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartment.
  31. Gasworth Steven M. (Glenville NY), Apparatus for producing diamonds by chemical vapor deposition and articles produced therefrom.
  32. Ganguli,Seshadri; Chen,Ling; Ku,Vincent W., Apparatus for providing gas to a processing chamber.
  33. Suda Toshikazu (Yokohama JPX), Apparatus for semiconductor process including photo-excitation process.
  34. Hiatt C. Fred (Burnsville MN) Gray David C. (Sunnyvale CA) Butterbaugh Jeffery W. (Chanhassen MN), Apparatus for surface conditioning.
  35. Tsai Charles Su-Chang, Apparatus of chemical vapor for producing layer variation by planetary susceptor rotation.
  36. Van Wijck, Margreet Albertine Anne-Marie, Atomic layer deposition.
  37. Chin,Barry L.; Mak,Alfred W.; Lei,Lawrence Chung Lai; Xi,Ming; Chung,Hua; Lai,Ken Kaung; Byun,Jeong Soo, Atomic layer deposition apparatus.
  38. Hyun Kwang-Soo,KRX ; Park Kyung-ho,KRX ; Yoon Neung-goo,KRX ; Choi Kang-jun,KRX ; Jeong Soo-hong,KRX, Atomic layer deposition apparatus for depositing atomic layer on multiple substrates.
  39. Chung,Hua; Wang,Rongjun; Maity,Nirmalya, Atomic layer deposition of tantalum based barrier materials.
  40. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  41. Yoder Max N. (Falls Church VA), Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors.
  42. Yokoyama Haruki (Kanagawa JPX) Shinohara Masanori (Kanagawa JPX), Atomic layer epitaxy method and apparatus.
  43. Sakuma Yoshiki (Kawasaki JPX) Ozeki Masashi (Kawasaki JPX) Ohtuka Nobuyuki (Kawasaki JPX) Kodama Kunihiko (Takarazuka JPX), Atomic layer epitaxy of compound semiconductor.
  44. Yoon, Ki Hwan; Cha, Yonghwa Chris; Yu, Sang Ho; Ahmad, Hafiz Farooq; Wee, Ho Sun, Barrier formation using novel sputter deposition method with PVD, CVD, or ALD.
  45. Sandhu Gurtej Singh, Barrier layer cladding around copper interconnect lines.
  46. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  47. Guo Ted ; Chen Liang ; Chen Fusen ; Mosely Roderick C., Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer.
  48. Tompkins, Gregory Edward; Sirota, Don Nus; Logue, Raymond Carl, Bubbler for use in vapor generation systems.
  49. Zhao Jun (Milpitas CA) Cho Tom (San Francisco CA) Dornfest Charles (Fremont CA) Wolff Stefan (Sunnyvale CA) Fairbairn Kevin (Saratoga CA) Guo Xin S (Mountain View CA) Schreiber Alex (Santa Clara CA) , CVD Processing chamber.
  50. Tarutani Masayoshi,JPX ; Horikawa Tsuyoshi,JPX ; Kawahara Takaaki,JPX ; Yamamuka Mikio,JPX, CVD apparatus for forming thin film having high dielectric constant.
  51. McKee Rodney Allen ; Walker Frederick Joseph, CaTiO.sub.3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films.
  52. Ikeda Kei,JPX ; Kobayashi Akiko,JPX, Chemical vapor deposition apparatus.
  53. Mieno Fumitake (Kawasaki JPX), Chemical vapor deposition apparatus having an ejecting head for ejecting a laminated reaction gas flow.
  54. Kawata Yoshinobu (Fukuoka JPX) Minami Toshihiko (Fukuoka JPX), Chemical vapor deposition method, and chemical vapor deposition treatment system and chemical vapor deposition apparatus.
  55. Erbil Ahmet (Atlanta GA), Chemical vapor deposition of mixed metal oxide coatings.
  56. Rajagopalan Ravi ; Ghanayem Steve ; Yamazaki Manabu,JPX ; Ohtsuka Keiichi,JPX ; Maeda Yuji,JPX, Chemical vapor deposition process for depositing tungsten.
  57. Hara Tatsushi (Inagi JPX) Misawa Nobuhiro (Yokohama JPX) Suzuki Toshiya (Kawasaki JPX) Ohba Takayuki (Yokohama JPX) Mieno Fumitake (Kawasaki JPX) Yamaguchi Akio (Kawasaki JPX), Chemical vapor deposition system.
  58. Lei, Lawrence C.; Mak, Alfred W.; Tzu, Gwo-Chuan; Tepman, Avi; Xi, Ming; Glenn, Walter Benjamin, Clamshell and small volume chamber with fixed substrate support.
  59. Skarp Jarmo I. (Helsinki FIX), Combination film, in particular for thin film electroluminescent structures.
  60. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartnetalized substrate processing chamber.
  61. Hegedus Andreas G. (Albany CA), Compound flow plasma reactor.
  62. Gregg, John N.; Harris, Gregory W.; Cook, Frank L.; Jackson, Robert M., Container chemical guard.
  63. Tony P. Chiang ; Karl F. Leeser, Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  64. Chen, Ling; Ganguli, Seshadri; Marcadal, Christophe; Cao, Wei; Mosely, Roderick C.; Chang, Mei, Copper interconnect barrier layer structure and formation method.
  65. Arimoto Satoshi (Itami JPX), Crystal growth method.
  66. Yang, Michael X.; Xi, Ming, Cyclical deposition of a variable content titanium silicon nitride layer.
  67. Chung,Hua; Chen,Ling; Chin,Barry L., Cyclical deposition of refractory metal silicon nitride.
  68. Chan Lap ; Zheng Jia Zhen,SGX, Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer.
  69. Kai-Erik Elers FI; Suvi P. Haukka FI; Ville Antero Saanila FI; Sari Johanna Kaipio FI; Pekka Juha Soininen FI, Deposition of transition metal carbides.
  70. Mak Alfred ; Chen Ling ; Smith David C. ; Chang Mei ; Ghanayem Steve, Deposition of tungsten nitride by plasma enhanced chemical vapor deposition.
  71. Craig R. Metzner ; Turgut Sahin ; Gregory F. Redinbo ; Pravin K. Narwankar ; Patricia M. Liu, Deposition reactor having vaporizing, mixing and cleaning capabilities.
  72. Bang Won B. ; Yieh Ellie ; Pham Thanh, Deposition resistant lining for CVD chamber.
  73. Bertone Daniele (Turin ITX), Device for introducing reagents into an organometallic vapor phase deposition apparatus.
  74. Gasworth Steven M. (Scotia NY), Diamond crystal growth apparatus.
  75. DiMeo ; Jr. Frank ; Bilodeau Steven M. ; Van Buskirk Peter C., Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer.
  76. Tushar Mandrekar ; Anish Tolia ; Nitin Khurana, Direct temperature control for a component of a substrate processing chamber.
  77. Wallace Robert M. (Dallas TX) Gnade Bruce E. (Rowlett TX), Directed effusive beam atomic layer epitaxy system and method.
  78. Umotoy Salvador ; Ku Vincent ; Yuan Xiaoxiong ; Lei Lawrence Chung-Lai, Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces.
  79. Sunakawa Haruo (Tokyo JPX), Doping III-V compound semiconductor devices with group VI monolayers using ALE.
  80. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  81. Kraus, Joseph Arthur; Strassner, James David, Dual wafer load lock.
  82. Kraus, Joseph Arthur; Strassner, James David, Dual wafer load lock.
  83. Sugiura Kazuhiko,JPX ; Katayama Masayuki,JPX ; Ito Nobuei,JPX, Electroluminescent device and method of producing the same.
  84. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  85. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with composite atomic barrier film and process for making same.
  86. Nguyen,Anh N.; Yudovsky,Joseph; Alexander,Mark A.; Yoon,Hungsuk A.; Chen,Chiliang L., Electronically actuated valve.
  87. Aspnes David E. (Watchung NJ) Quinn William E. (Middlesex Boro NJ), Ellipsometric control of material growth.
  88. Frijlink Peter (Crosne FRX), Epitaxial reactor having a wall which is protected from deposits.
  89. Zhao Jun ; Schreiber Alex, Faceplate thermal choke in a CVD plasma reactor.
  90. Wollnik Hermann (Fernwald DEX) Klein Christoph (Bad Endbach DEX), Fast timing position sensitive detector.
  91. Imai Masayuki (Kofu JPX) Nishimura Toshiharu (Kofu JPX), Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily.
  92. Watabe Masahiro (Kawasaki JPX), Flow control valve for use in fabrication of semiconductor devices.
  93. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  94. Seutter,Sean M.; Yang,Michael X.; Xi,Ming, Formation of a tantalum-nitride layer.
  95. Pin-Chin C. Wang ; Sergey Lopatin, Formation of alloy material using alternating depositions of alloy doping element and bulk material.
  96. Byun, Jeong Soo; Mak, Alfred, Formation of boride barrier layers using chemisorption techniques.
  97. Byun, Jeong Soo; Mak, Alfred, Formation of boride barrier layers using chemisorption techniques.
  98. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  99. Mosely Roderick C. ; Van Gogh Jim ; Littau Karl A., Forming tin thin films using remote activated specie generation.
  100. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  101. Noorbakhsh,Hamid; Carducci,James D.; Sun,Jennifer Y.; Elizaga,Larry D., Gas distribution showerhead for semiconductor processing.
  102. Yudovsky, Joseph, Gas distribution system for cyclical layer deposition.
  103. Huang Rong-Ting (Highland Park NJ) Kasemset Dumrong (Hopewell NJ), Gas distributor for OMVPE Growth.
  104. Quirk George ; Raney Daniel V. ; Heuser Michael Scott ; Shepard ; Jr. C. B., Gas injection disc assembly for CVD applications.
  105. Dixon Robert E., Gas inlet apparatus and method for chemical vapor deposition reactors.
  106. Jrgensen Holger (Aachen DEX), Gas inlet for a plurality of reactant gases into reaction vessel.
  107. Shigeru Kasai JP; Teruo Iwata JP; Taro Komiya JP; Tomihiro Yonenaga JP, Gas processing apparatus baffle member, and gas processing method.
  108. Schumaker Norman E. (Warren NJ) Stall Richard A. (Warren NJ) Nelson Craig R. (Green Village NJ) Wagner Wilfried R. (Basking Ridge NJ), Gas treatment apparatus and method.
  109. Mak Alfred ; Lai Kevin ; Leung Cissy ; Ghanayem Steve G. ; Wendling Thomas,DEX ; Jian Ping, Gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride.
  110. Sunakawa Haruo (Tokyo JPX), Group VI doping of III-V semiconductors during ALE.
  111. Cheng Hwa (Woodbury MN) DePuydt James M. (St. Paul MN) Haase Michael A. (Woodbury MN) Qiu Jun (Woodbury MN), Growth of II VI laser diodes with quantum wells by atomic layer epitaxy and migration enhanced epitaxy.
  112. Nishizawa Junichi (Miyai-ken JPX) Abe Hitoshi (Miyai-ken JPX) Suzuki Soubei (1-3 ; Otamayahshita Sendai-shi ; Miyagi-ken JPX), Growth of doped semiconductor monolayers.
  113. Tsuei, Lun; Sen, Soovo; Lee, Ju-Hyung; Rocha-Alvarez, Juan Carlos; Shmurun, Inna; Zhao, Maosheng; Kim, Troy; Venkataraman, Shankar, Heated gas distribution plate for a processing chamber.
  114. Aftergut Siegfried (Schenectady NY) Ackerman John Frederick (Cheyenne WY), Hermetically sealed radiation imager.
  115. Aftergut Siegfried ; Ackerman John Frederick, Hermetically sealed radiation imager.
  116. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate.
  117. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same.
  118. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same.
  119. Van Hove James M. (Eagan MN) Kuznia Jon N. (Bloomington MN) Olson Donald T. (Roseville MN) Kahn Muhammad A. (White Bear Lake MN) Blasingame Margaret C. (Moundsview MN), High responsivity ultraviolet gallium nitride detector.
  120. Zhao Jun ; Luo Lee ; Jin Xiao Liang ; Wang Jia-Xiang ; Wolff Stefan ; Sajoto Talex, High temperature, high deposition rate process and apparatus for depositing titanium layers.
  121. Hey H. Peter W. (Phoenix AZ) Mazak William A. (Mesa AZ) Aggarwal Ravinder K. (Mesa AZ) Curtin John H. (Phoenix AZ), High throughput multi station processor for multiple single wafers.
  122. Gaines James M. (Mohegan Lake NY) Petruzzello John (Carmel NY), II-VI compound semiconductor epitaxial layers having low defects, method for producing and devices utilizing same.
  123. Nishizawa Junichi (Miyagi JPX) Aoki Kenji (Tokyo JPX), Impurity doping method with adsorbed diffusion source.
  124. Bension Rouvain M. (310 Summit Ave. Brookline MA 02146) Truesdale Larry K. (27 Wetherill La. Chester Springs PA 19425), Initiation and bonding of diamond and other thin films.
  125. Helms Dirk (Ahrensburg DEX), Inserting device for vacuum apparatus.
  126. Park In-seon,KRX ; Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Kim Byung-hee,KRX ; Lee Sang-min,KRX ; Park Chang-soo,KRX, Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature.
  127. Chung,Hua; Maity,Nirmalya; Yu,Jick; Mosely,Roderick Craig; Chang,Mei, Integration of ALD tantalum nitride for copper metallization.
  128. Chung, Hua; Chen, Ling; Yu, Jick; Chang, Mei, Integration of barrier layer and seed layer.
  129. Parsons James D. (Newbury Park CA), Inverted positive vertical flow chemical vapor deposition reactor chamber.
  130. McInerney Edward J. ; Pratt Thomas M. ; Hancock Shawn D., Isolation of incompatible processes in a multi-station processing chamber.
  131. Akiyama, Yasunori; Aoyama, Taiki; Kato, Tsuyoshi; Honda, Itsuo, Jet pump throat pipe having a bent discharge end.
  132. Sandhu Gurtej S. (Boise ID) Doan Trung T. (Boise ID), LPCVD process for depositing titanium films for semiconductor devices.
  133. Bang Won ; Yieh Ellie ; Pham Thanh, Lid assembly for a process chamber employing asymmetric flow geometries.
  134. Nguyen, Anh N.; Yang, Michael X.; Xi, Ming; Chung, Hua; Chang, Anzhong; Yuan, Xiaoxiong; Lu, Siqing, Lid assembly for a processing system to facilitate sequential deposition techniques.
  135. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  136. Chen Aihua ; Umotoy Salvador P., Lid assembly for semiconductor processing chamber.
  137. Stacey, David; Prather, Zach; Allinger, Jonathan, Lid liner for chemical vapor deposition chamber.
  138. Wang Yen-Kun ; Chang Fong ; Pham Thanh ; Plante Jeff, Liquid flow rate estimation and verification by direct liquid measurement.
  139. Li Ting Kai ; Gurary Alexander I. ; Scott Dane C., Liquid vaporizer system and method.
  140. Nguyen Tue ; Hsu Sheng Teng, Low resistance contact between integrated circuit metal levels and method for same.
  141. Mak Alfred ; Lai Kevin ; Leung Cissy ; Sauvage Dennis,FRX, Low resistivity W using B.sub.2 H.sub.6 nucleation step.
  142. Mak Alfred ; Lai Kevin ; Leung Cissy ; Sauvage Dennis,FRX, Low resistivity W using B2H6 nucleation step.
  143. Yamamoto Yoshitsugu (Tokyo JPX) Kadoiwa Kaoru (Tokyo JPX), MBE apparatus and gas branch piping apparatus.
  144. Aoki Kenji,JPX ; Takada Ryoji,JPX, MOS field effect transistor and its manufacturing method.
  145. Seidel, Thomas E.; Jansz, Adrian; Puchacz, Jurek; Doering, Ken, Massively parallel atomic layer deposition/chemical vapor deposition system.
  146. Jrgensen Holger (Aachen DEX) Grter Klaus (Aachen DEX) Deschler Marc (Hauset DEX) Balk Pieter (Aachen DEX), Material-saving process for fabricating mixed crystals.
  147. Yudovsky,Joseph, Membrane gas valve for pulsing a gas.
  148. Aucoin Thomas R. (Ocean NJ) Wittstruck Richard H. (Howell NJ) Zhao Jing (Ellicott MD) Zawadzki Peter A. (Plainfield NJ) Baarck William R. (Fair Haven NJ) Norris Peter E. (Cambridge MA), Method and apparatus for depositing a refractory thin film by chemical vapor deposition.
  149. Berrian Donald W. ; Kaim Robert ; Pollock John D., Method and apparatus for flowing gases into a manifold at high potential.
  150. Ku, Vincent W.; Chen, Ling; Wu, Dien-Yeh; Ouye, Alan H.; Wysok, Irena, Method and apparatus for gas temperature control in a semiconductor processing system.
  151. Guenther, Rolf A., Method and apparatus for generating gas to a processing chamber.
  152. Suntola, Tuomo; Lindfors, Sven, Method and apparatus for growing thin films.
  153. Suntola, Tuomo; Soininen, Pekka; Bondestam, Niklas, Method and apparatus for growing thin films.
  154. Ganguli, Seshadri; Ku, Vincent W.; Chung, Hua; Chen, Ling, Method and apparatus for monitoring solid precursor delivery.
  155. Jevtic Dusan, Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer pr.
  156. Chen, Ling; Ku, Vincent W.; Chung, Hua; Marcadal, Christophe; Ganguli, Seshadri; Lin, Jenny; Wu, Dien-Yeh; Ouye, Alan; Chang, Mei, Method and apparatus of generating PDMAT precursor.
  157. Albert Hasper NL; Frank Huussen NL; Cornelis Marinus Kooijman NL; Theodorus Gerardus Maria Oosterlaken NL; Jack Herman Van Putten NL; Christianus Gerardus Maria Ridder NL; Gert-Jan Snijders NL, Method and device for transferring wafers.
  158. Suntola Tuomo,FIX ; Lindfors Sven,FIX ; Soininen Pekka,FIX, Method and equipment for growing thin films.
  159. Alessandra Satta BE; Karen Maex BE; Kai-Erik Elers FI; Ville Antero Saanila FI; Pekka Juha Soininen FI; Suvi P. Haukka FI, Method for bottomless deposition of barrier layers in integrated circuit metallization schemes.
  160. Tuomo Suntoloa FI; Markku Leskela FI; Mikko Ritala FI, Method for coating inner surfaces of equipment.
  161. Matsumoto Fumio (Miyagi JPX), Method for epitaxial growth of compound semiconductor using MOCVD with molecular layer epitaxy.
  162. Mochizuki Yuji (Tokyo JPX) Chiba Yoshie (Tokyo JPX) Takada Toshikazu (Tokyo JPX) Usui Akira (Tokyo JPX), Method for epitaxial growth of semiconductor crystal by using halogenide.
  163. Liu Jiang (Raleigh NC) Wolter Scott (Zebulon NC) McClure Michael T. (Raleigh NC) Stoner Brian R. (Chapel Hill NC) Glass Jeffrey T. (Apex NC) Hren John J. (Cary NC), Method for forming a diamond coated field emitter and device produced thereby.
  164. Matsumoto Tomotaka (Kawasaki JPX) Inoue Jun (Kawasaki JPX) Ichimura Teruhiko (Kawasaki JPX) Murata Yuji (Kawasaki JPX) Watanabe Junichi (Kawasaki JPX) Nagahiro Yoshio (Kawasaki JPX) Hodate Mari (Kawa, Method for forming a film and method for manufacturing a thin film transistor.
  165. Braeckelmann Gregor ; Venkatraman Ramnath ; Herrick Matthew Thomas ; Simpson Cindy R. ; Fiordalice Robert W. ; Denning Dean J. ; Jain Ajay ; Capasso Cristiano, Method for forming a semiconductor device.
  166. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Kim Young-sun,KRX, Method for forming dielectric film of capacitor having different thicknesses partly.
  167. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  168. Kobayashi Naoki (Iruma JPX) Sugiura Hideo (Katsuta JPX) Horikoshi Yoshiji (Akishima JPX), Method for forming thin films of compound semiconductors by flow rate modulation epitaxy.
  169. Wang Xue-Lun,JPX ; Ogura Mutsuo,JPX, Method for growing Group III atomic layer.
  170. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome ; Sendai-shi Miyagi JPX) Aoki Kenji (Matsudo JPX), Method for growing single crystal thin films of element semiconductor.
  171. Nishizawa Junichi (Sendai JPX) Aoki Kenji (Matsudo JPX), Method for growing single crystal thin films of element semiconductor.
  172. Suntola Tuomo,FIX ; Lindfors Sven,FIX, Method for growing thin films.
  173. Ritala, Mikko; Rahtu, Antti; Leskela, Markku; Kukli, Kaupo, Method for growing thin oxide films.
  174. Petroff Pierre M. (Santa Barbara CA) Kroemer Herbert (Santa Barbara CA), Method for growing tilted superlattices.
  175. Kao Chien-Teh ; Tsai Kenneth ; Pham Quyen ; Rose Ronald L. ; Augason Calvin R. ; Yudovsky Joseph, Method for improved remote microwave plasma source for use with substrate processing system.
  176. Leem Hyeun-seog,KRX, Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method.
  177. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Lee Sang-min,KRX, Method for manufacturing thin film using atomic layer deposition.
  178. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  179. Gaines James Matthew ; Petruzzello John, Method for producing II-VI compound semiconductor epitaxial layers having low defects.
  180. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  181. Foster Robert F. ; Hillman Joseph T. ; LeBlanc Rene E., Method for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor.
  182. Kang Sang-Bom,KRX ; Lee Sang-In,KRX, Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device.
  183. Habuka Hitoshi,JPX ; Mayuzumi Masanori,JPX ; Tate Naoto ; Katayama Masatake,JPX, Method of chemical vapor deposition and reactor therefor.
  184. Tsai Charles Su-Chang, Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation.
  185. Sergey D. Lopatin ; Carl Galewski ; Takeshi T. N. Nogami JP, Method of copper interconnect formation using atomic layer copper deposition.
  186. Verplancken, Donald J.; Sinha, Ashok K., Method of delivering activated species for rapid cyclical deposition.
  187. Yun-sook Chae KR; In-sang Jeon KR; Sang-bom Kang KR; Sang-in Lee KR; Kyu-wan Ryu KR, Method of delivering gas into reaction chamber and shower head used to deliver gas.
  188. Eres Djula (Knoxville TN) Sharp Jeffrey W. (Knoxville TN), Method of digital epilaxy by externally controlled closed-loop feedback.
  189. Nishizawa Jun-ichi (Miyagi JPX) Kurabayashi Toru (Miyagi JPX), Method of epitaxially growing compound crystal and doping method therein.
  190. Nishizawa Jun-ichi (6-16 ; Komegafukuro 1-chome ; Aoba-ku Sendai-shi ; Miyagi-ken JPX) Kurabayashi Toru (Sendai JPX), Method of epitaxially growing semiconductor crystal using light as a detector.
  191. Aoki Kenji (Tokyo JPX) Shimbo Masafumi (Tokyo JPX), Method of fabricating MOS field effect transistor.
  192. Iizuka, Toshihiro; Yamamoto, Tomoe, Method of fabricating a high dielectric constant metal oxide capacity insulator film using atomic layer CVD.
  193. Naito Yasushi,JPX ; Ito Yutaka,JPX ; Hirofuji Yuichi,JPX, Method of fabricating a high-density dynamic random-access memory.
  194. Okamura Kenji (Tokyo JPX) Zenke Masanobu (Tokyo JPX) Den Yasuhide (Tokyo JPX), Method of fabricating semiconductor devices.
  195. Chung, Hua; Chen, Ling; Ku, Vincent W., Method of film deposition using activated precursor gases.
  196. Nakamura,Kazuhito; Yamasaki,Hideaki; Kawano,Yumiko; Leusink,Gert J.; McFeely,Fenton R.; Yurkas,John J.; Narayanan,Vijay, Method of forming a tantalum-containing gate electrode structure.
  197. Kohmura Yukio (Chiba JPX) Ishida Yoshinori (Ichihara JPX) Nishimoto Takuya (Yokohama JPX), Method of forming a thin film by plasma CVD and apapratus for forming a thin film.
  198. Srinivas, Ramanujapuram A.; Metzger, Brian; Wang, Shulin; Wu, Frederick C., Method of forming a titanium silicide layer on a substrate.
  199. Shih Chun-Yi,TWX ; Hsu Shun-Liang,TWX ; Ting Jyh-Kang,TWX, Method of forming a tungsten silicide capacitor having a high breakdown voltage.
  200. Mak Alfred ; Lai Kevin ; Leung Cissy ; Ghanayem Steve G. ; Wendling Thomas,DEX ; Jian Ping, Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride.
  201. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Method of forming graded thin films using alternating pulses of vapor phase reactants.
  202. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  203. Hyun-Seok Lim KR; Sang-Bom Kang KR; In-Sang Jeon KR; Gil-Heyun Choi KR, Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same.
  204. Kang Sang-bom,KRX ; Park Chang-soo,KRX ; Chae Yun-sook,KRX ; Lee Sang-in,KRX, Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same.
  205. Sang-bum Kang KR; Yun-sook Chae KR; Sang-in Lee KR; Hyun-seok Lim KR; Mee-young Yoon KR, Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same.
  206. Pessa Markus (Tampere FIX) Asonen Harry (Tampere FIX) Varrio Jukka (Tampere FIX) Salokatve Arto (Tampere FIX), Method of growing GaAs films on Si or GaAs substrates using ale.
  207. Soininen Erkki Lauri,FIX ; Harkonen Gitte,FIX ; Lahonen Marja,FIX ; Tornqvist Runar,FIX ; Viljanen Juha,FIX, Method of growing a ZnS:Mn phosphor layer for use in thin-film electroluminescent components.
  208. Otsuka Nobuyuki (Kawasaki JPX), Method of growing a semiconductor layer and a fabrication method of a semiconductor device using such a semiconductor la.
  209. Mochizuki Kouji (Isehara JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy.
  210. Shimbo Masafumi (31-1 ; Kameido 6-chome Koto-ku ; Tokyo JPX), Method of growing compound semiconductor thin film using multichamber smoothing process.
  211. Pekka J. Soininen FI; Kai-Erik Elers FI; Suvi Haukka FI, Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH.
  212. Mochizuki Kouji (Isehara JPX) Ozeki Masashi (Yokohama JPX) Ohtsuka Nobuyuki (Atsugi JPX), Method of growing group III-V compound semiconductor epitaxial layer.
  213. Harkonen Gitte,FIX ; Kervinen Tomi,FIX ; Soininen Erkki,FIX ; Tornqvist Runar,FIX ; Vasama Kirsi,FIX ; Glanz Mario,DEX ; Schumann Herbert,DEX, Method of growing thin film electroluminescent structures.
  214. Turner Norman L. (Mountain View CA) White John MacNeill (Los Gatos CA) Berkstresser David (Los Gatos CA), Method of heating and cooling large area glass substrates.
  215. Colgan Evan G. (Wappingers Falls NY) Fryer Peter M. (Mamaroneck NY), Method of making Alpha-Ta thin films.
  216. Anderberg Dane H. (Phoenix AZ) Barnett Lewis C. (Tempe AZ), Method of making a quartz dome reactor chamber.
  217. Graf Volker (Wollerau CHX) Mueller Carl A. (Hedingen CHX), Method of making artificial layered high Tc superconductors.
  218. In-sang Jeon KR; Sang-bom Kang KR; Hyun-seok Lim KR; Gil-heyun Choi KR, Method of manufacturing a barrier metal layer using atomic layer deposition.
  219. Nishizawa Jun-ichi (Sendai JPX) Kurabayashi Toru (Sendai JPX), Method of manufacturing a static induction field-effect transistor.
  220. Nasu Yasuhiro (Sagamihara JPX) Okamoto Kenji (Hiratsuka JPX) Watanabe Jun-ichi (Kawasaki JPX) Endo Tetsuro (Atsugi JPX) Soeda Shinichi (Hiratsuka JPX), Method of manufacturing active matrix display device using insulation layer formed by the ale method.
  221. Merchant Sailesh M. ; Misra Sudhanshu ; Roy Pradip K., Method of passivating copper interconnects in a semiconductor.
  222. Olubunmi O. Adetutu ; Yeong-Jyh T. Lii ; Paul A. Grudowski, Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same.
  223. Aoki Kenji,JPX ; Akamine Tadao,JPX ; Kojima Yoshikazu,JPX, Method of producing a bipolar transistor.
  224. Dautartas Mindaugas F. ; Manchanda Lalita, Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants.
  225. Ling Chen ; Seshadri Ganguli ; Wei Cao ; Christophe Marcadal, Method of using a barrier sputter reactor to remove an underlying barrier layer.
  226. Kaloyeros, Alain E.; Knorr, Andres; Faltermeier, Jonathan, Methodology for in-situ doping of aluminum coatings.
  227. Chen, Fusen; Chen, Ling; Glenn, Walter Benjamin; Gopalraja, Praburam; Fu, Jianming, Methods and apparatus for forming barrier layers in high aspect ratio vias.
  228. Chen, Fusen; Chen, Ling; Glenn, Walter Benjamin; Gopalraja, Praburam; Fu, Jianming, Methods and apparatus for forming barrier layers in high aspect ratio vias.
  229. Ivanov, Igor C.; Zhang, Weiguo, Methods and system for processing a microelectronic topography.
  230. Kang,Sang Bom; Kim,Byung Hee; Choi,Kyung In; Choi,Gil Heyun; Lee,You Kyoung; Park,Seong Geon, Methods for forming atomic layers and thin films including tantalum nitride and devices including the same.
  231. Major Jo S. ; Welch David F. ; Scifres Donald R., Methods for forming group III-V arsenide-nitride semiconductor materials.
  232. Choi, Gil-Heyun; Lee, Jong-Ho; Choi, Kyung-In; Kim, Byung-Hee, Methods of forming dual gate semiconductor devices having a metal nitride layer.
  233. Leem Hyeun-Seog,KRX, Methods of forming smooth conductive layers for integrated circuit devices.
  234. Seung-hwan Lee KR; Yeong-kwan Kim KR; Dong-chan Kim KR; Young-wook Park KR, Methods of forming thin films by atomic layer deposition.
  235. Kang,Sang Bom; Lee,Jong Myeong; Choi,Kyung In; Choi,Gil Heyun; Lee,You Kyoung; Park,Seong Geon; Lee,Sang Woo, Methods of producing integrated circuit devices utilizing tantalum amine derivatives.
  236. Falconer John L. ; George Steven M. ; Ott Andrew W. ; Klaus Jason W. ; Noble Richard D. ; Funke Hans H., Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition.
  237. Stark Lawrence R. (San Jose CA) Turner Frederick (Sunnyvale CA), Modular wafer transport and processing system.
  238. Yitzchaik Shlomo,ILX ; Burtman Vladimir,ILX, Molecular layer epitaxy method and compositions.
  239. Maydan Dan (Los Altos Hills CA) Somekh Sasson (Redwood City CA) Wang David N. (Cupertino CA) Cheng David (San Jose CA) Toshima Masato (San Jose CA) Harari Isaac (Mountain View CA) Hoppe Peter D. (Sun, Multi-chamber integrated process system.
  240. Sato Junichi (Tokyo JPX) Hasegawa Toshiaki (Kanagawa JPX) Komatsu Hiroshi (Kanagawa JPX), Multi-chamber wafer process equipment having plural, physically communicating transfer means.
  241. Muka Richard S., Multi-level substrate processing apparatus.
  242. Chang, Mei; Lei, Lawrence C.; Glenn, Walter B., Multi-station deposition apparatus and method.
  243. Kim Je-Ha,KRX ; Han Seok-Kil,KRX ; Kang Kwang-Yong,KRX, Multi-target manipulator for pulsed laser deposition apparatus.
  244. Maydan Dan ; Somekh Sasson ; Wang David Nin-Kou ; Cheng David ; Toshima Masato ; Harari Isaac ; Hoppe Peter D., Multiple chamber integrated process system.
  245. Yang, Michael Xi; Yoon, Hyungsuk Alexander; Zhang, Hui; Fang, Hongbin; Xi, Ming, Multiple precursor cyclical deposition system.
  246. Doering Kenneth ; Galewski Carl J., Multipurpose processing chamber for chemical vapor deposition processes.
  247. Aspnes David E. (Watchung NJ) Bhat Rajaram (Red Bank NJ) Colas Etienne G. (Asbury Park NJ) Florez Leigh T. (Atlantic Highlands NJ) Harbison James P. (Fair Haven NJ) Studna Amabrose A. (Raritan NJ), Optical control of deposition of crystal monolayers.
  248. Randhawa Harbhajan S. (Boulder CO) Buske Jeffrey M. (Boulder CO), Physical vapor deposition dual coating process.
  249. Os Ron van ; Durbin William J. ; Matthiesen Richard H. ; Fenske Dennis C. ; Ross Eric D., Plasma enchanced chemical method.
  250. Raney Daniel V. ; Heuser Michael Scott ; Jaffe Stephen M. ; Shepard ; Jr. C. B., Plasma jet system.
  251. Koshiishi Akira,JPX ; Ogasawara Masahiro,JPX ; Hirose Keizo,JPX ; Nagaseki Kazuya,JPX ; Tomoyoshi Riki,JPX ; Aoki Makoto,JPX, Plasma processing apparatus.
  252. Fukuda Takuya (Hitachi JPX) Ohue Michio (Hitachi JPX) Suzuki Kazuo (Hitachi JPX), Plasma processing apparatus and method of processing substrates by using same apparatus.
  253. Foley Henry C. (Newark DE) Varrin ; Jr. Robert D. (Newark DE) Sengupta Sourav K. (Newark DE), Plasma-induced, in-situ generation, transport and use or collection of reactive precursors.
  254. Knuuttila Hilkka (Porvoo FIX) Lakomaa Eeva-Liisa (Espoo FIX), Polymerization catalyst for olefines.
  255. Kobayashi Naoki (Iruma JPX) Makimoto Toshiki (Tokorozawa JPX) Horikoshi Yoshiji (Akishima JPX), Preparation process of compound semiconductor.
  256. Lee Young Chong,KRX, Pretreatment of semiconductor substrate.
  257. Kurihara Kazuaki (Kawasaki JPX) Sasaki Kenichi (Kawasaki JPX) Kawarada Motonobu (Kawasaki JPX), Process and apparatus for producing diamond film.
  258. Boitnott Charles A. (Half Moon Bay CA) Caughran James W. (Lodi CA) Egbert Steve (Palo Alto CA), Process chamber sleeve with ring seals for isolating individual process modules in a common cluster.
  259. Chang Mei (Cupertino CA) Leung Cissy (Fremont CA) Wang David N. (Saratoga CA) Cheng David (San Jose CA), Process for CVD deposition of tungsten layer on semiconductor wafer.
  260. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sandai-shi ; Miyagi-ken JPX) Abe Hitoshi (1-3 ; Otamayashita Sendai JPX) Suzuki Soubei (1-3 ; Otamayashita Sendai-shi ; Miyagi-ken JPX), Process for forming a thin film of silicon.
  261. Drewery, John S.; Powell, Ronald A., Process for forming barrier/seed structures for integrated circuits.
  262. Nishizawa Junichi (Sendai JPX) Abe Hitoshi (Sendai JPX), Process for growing GaAs monocrystal film.
  263. Saanila, Ville Antero; Elers, Kai-Erik; Kaipio, Sari Johanna; Soininen, Pekka Juha, Process for growing metalloid thin films utilizing boron-containing reducing agents.
  264. Comizzoli Robert Benedict ; Dautartas Mindaugas Fernand ; Osenbach John William, Process for passivating semiconductor laser structures with severe steps in surface topography.
  265. Chen, Ling; Ganguli, Seshadri; Cao, Wei; Marcadal, Christophe, Process for removing an underlying layer and depositing a barrier layer in one reactor.
  266. Matsumoto Takashi (Tokyo JPX), Process for the growth of III-V group compound semiconductor crystal on a Si substrate.
  267. Tokuda Mitsuo (Tachikawa JPX) Azuma Junzou (Yokohama JPX) Otsubo Toru (Fujisawa JPX) Yamaguchi Yasuhiro (Chigasaki JPX) Sasaki Ichirou (Yokohama JPX), Processing apparatus and method for plasma processing.
  268. Doering Kenneth ; Galewski Carl J. ; Gadgil Prasad N. ; Seidel Thomas E., Processing chamber for atomic layer deposition processes.
  269. Santiago, James V.; Sower, Damian W., Processing chamber with flow-restricting ring.
  270. Nobuyuki Okayama JP; Hidehito Saegusa JP; Jun Ozawa JP; Daisuke Hayashi JP; Naoki Takayama JP; Koichi Kazama JP, Processing system.
  271. Ackerman John F. (Cheyenne WY), Production of diffuse reflective coatings by atomic layer epitaxy.
  272. Kai-Erik Elers FI; Ville Antero Saanila FI; Sari Johanna Kaipio FI; Pekka Juha Soininen FI, Production of elemental thin films using a boron-containing reducing agent.
  273. Ivo Raaijmakers NL; Pekka T. Soininen FI; Ernst H. A. Granneman NL; Suvi P. Haukka FI, Protective layers prior to alternating layer deposition.
  274. Raaijmakers, Ivo; Soininen, Pekka T.; Granneman, Ernst H. A.; Haukka, Suvi P., Protective layers prior to alternating layer deposition.
  275. Ofer Sneh, Radical-assisted sequential CVD.
  276. Ofer Sneh, Radical-assisted sequential CVD.
  277. Sneh Ofer, Radical-assisted sequential CVD.
  278. Umotoy Salvador ; Nguyen Anh N. ; Tran Truc T. ; Chung-Lei Lawrence ; Chang Mei, Reactor optimized for chemical vapor deposition of titanium.
  279. Yudovsky, Joseph, Reciprocating gas valve for pulsing a gas.
  280. Xi,Ming; Smith,Paul Frederick; Chen,Ling; Yang,Michael X.; Chang,Mei; Chen,Fusen; Marcadal,Christophe; Lin,Jenny C., Reliability barrier integration for Cu application.
  281. Cooper Clark V. ; Bushnell Paul J. ; Mertell Martin M., Rotary compressor with reduced lubrication sensitivity.
  282. Yudovsky, Joseph, Rotary gas valve for pulsing a gas.
  283. Chung, Hua; Chen, Ling; Ku, Vincent W.; Yang, Michael X.; Yao, Gongda, Selective deposition of a barrier layer on a dielectric material.
  284. Kitch Vassili, Self-aligned copper interconnect structure and method of manufacturing same.
  285. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitochi (22-11 ; Midorigaoka 1-chome Sendai-shi ; Miyagi-ken JPX), Semiconductor crystal growth apparatus.
  286. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitoshi (Sendai JPX), Semiconductor crystal growth method.
  287. Nishizawa Jun-ichi (6-16 ; Komegafukuro 1-chome Aoka-ku ; Sendai-shi ; Miyagi-ken ; 980 JPX) Kurabayashi Toru (Sendai JPX), Semiconductor device comprising a highspeed static induction transistor.
  288. Mee-Young Yoon KR; Sang-In Lee KR; Hyun-Seok Lim KR, Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer.
  289. Park, Hee-sook; Choi, Gil-heyun; Lee, Seung-hwan; Lee, Yun-jung, Semiconductor device having barrier layer between ruthenium layer and metal layer and method for manufacturing the same.
  290. Kwon Dong-chul,KRX ; Wee Young-jin,KRX ; Shin Hong-jae,KRX ; Kim Sung-jin,KRX, Semiconductor device having improved metal line structure and manufacturing method therefor.
  291. Imahashi Issei (Yamanashi-ken JPX), Semiconductor processing system.
  292. Arthur Sherman, Sequential chemical vapor deposition.
  293. Sherman Arthur, Sequential chemical vapor deposition.
  294. Cao, Wei; Chung, Hua; Ku, Vincent; Chen, Ling, Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor.
  295. Chiang, Tony P.; Leeser, Karl F., Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  296. Tony P. Chiang ; Karl F. Leeser, Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD).
  297. Hytros, Mark M.; Tran, Truc T.; Teoh, Hongbee; Lei, Lawrence Chung-Lai; Gelatos, Avgerinos; Umotoy, Salvador P., Showerhead assembly for a processing chamber.
  298. Young Joseph R. ; Rodriguez Benjamin Garcia ; Barry James R., Signal tower controller.
  299. Connell George A. N. (Cupertino CA) Fenner David B. (Menlo Park CA) Boyce James B. (Los Altos CA) Fork David K. (Palo Alto CA), Silicon substrate having an epitaxial superconducting layer thereon and method of making same.
  300. Glenn, W. Benjamin; Verplancken, Donald J., Simultaneous cyclical deposition in different processing regions.
  301. Shareef, Iqbal; Shmuel, Erez; Basha, Syed; Martono, Suwipin, Sloped chamber top for substrate processing.
  302. Tepman Avi (Cupertino CA) Grunes Howard (Santa Cruz CA) Somekh Sasson (Los Altos Hills CA) Maydan Dan (Los Altos Hills CA), Staged-vacuum wafer processing system and method.
  303. Colgan Evan G. (Wappingers Falls NY) Fryer Peter M. (Mamaroneck NY), Structure and method of making Alpha-Ta in thin films.
  304. Aswad, Thomas W., Substrate cooling system and method.
  305. Yonemitsu Shuji,JPX ; Karino Toshikazu,JPX ; Yoshida Hisashi,JPX ; Watahiki Shinichiro,JPX ; Yoshida Yuji,JPX ; Shimura Hideo,JPX ; Sugimoto Takeshi,JPX ; Aburatani Yukinori,JPX ; Ikeda Kazuhito,JPX, Substrate processing apparatus.
  306. Beaulieu David ; Pippins Michael W., Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer.
  307. Sergey Lopatin, Superconducting damascene interconnected for integrated circuit.
  308. Copel Matthew W. (Katonah NY) Tromp Rudolf M. (Mount Kisco NY), Surfactant-enhanced epitaxy.
  309. Moore Joseph C. (Milpitas CA) Deaton Paul L. (Milpitas CA), Suspension system for semiconductor reactors.
  310. Dietrich David E. (8450-101 Via Sonoma La Jolla CA 92037), Swirl mixing device.
  311. Kozaki Takahiko,JPX ; Takatori Masahiro,JPX ; Endo Noboru,JPX ; Takase Akihiko,JPX ; Oguri Yozo,JPX, Switching system having means for congestion control by monitoring packets in a shared buffer and by suppressing the re.
  312. Yasuhara, Sakiko; Kadokura, Hidekimi, TANTALUM TERTIARY AMYLIMIDO TRIS (DIMETHYLAMIDE), A PROCESS FOR PRODUCING THE SAME, A SOLUTION OF STARTING MATERIAL FOR MOCVD USING THE SAME, AND A METHOD OF FORMING A TANTALUM NITRIDE FILM USING THE.
  313. Bhandari Gautam ; Baum Thomas H., Tantalum amide precursors for deposition of tantalum nitride on a substrate.
  314. Gautam Bhandari ; Thomas H. Baum, Tantalum amide precursors for deposition of tantalum nitride on a substrate.
  315. Chen, Ling; Ganguli, Seshadri; Cao, Wei; Marcadal, Christophe, Tantalum barrier layer for copper metallization.
  316. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  317. Zhao Jun ; Sinha Ashok ; Tepman Avi ; Chang Mei ; Luo Lee ; Schreiber Alex ; Sajoto Talex ; Wolff Stefan ; Dornfest Charles ; Danek Michal, Thermally floating pedestal collar in a chemical vapor deposition chamber.
  318. Nakata Yukihiko,JPX ; Fujihara Masaki,JPX ; Date Masahiro,JPX ; Matsuo Takuya,JPX ; Ayukawa Michiteru,JPX ; Itoga Takashi,JPX, Thin-film semiconductor device including a semiconductor film with high field-effect mobility.
  319. Chung,Hua, Titanium tantalum nitride silicide layer.
  320. John M. Grant ; Olubunmi O. Adetutu ; Yolanda S. Musgrove, Transistor metal gate structure that minimizes non-planarity effects and method of formation.
  321. Goodman Alvin M. (Arlington VA) Yoder Max N. (Falls Church VA), Trenched bipolar transistor structures.
  322. Cornelius Alexander van der Jeugd, Tungsten silicide deposition process.
  323. Hoinkis Mark D., Tungsten-filled deep trenches.
  324. Mohindra Raj ; Bhushan Abhay ; Bhushan Rajiv ; Puri Suraj ; Anderson ; Sr. John H. ; Nowell Jeffrey, Ultra-low particle semiconductor cleaner.
  325. Ilg Matthias ; Kirchhoff Markus ; Werner Christoph,DEX, Uniform distribution of reactants in a device layer.
  326. Halliyal, Arvind; Ramsbey, Mark T.; Chang, Kuo-Tung; Tripsas, Nicholas H.; Ogle, Robert B., Use of high-K dielectric material in modified ONO structure for semiconductor devices.
  327. Nishizawa Junichi (6-16 ; Komegafukuro 1-chome Sendai-shi ; Miyagi-ken JPX) Abe Hitoshi (22-11 ; Midorigaoka 1-chome Sendai-shi ; Miyagi-ken JPX) Suzuki Soubei (1-3 ; Otamayashita Sendai-shi ; Miyagi, Use of infrared radiation and an ellipsoidal reflection mirror.
  328. Kato Susumu (Isawa-Cho JPX) Yamaguchi Hirofumi (Sudama-Cho JPX), Vacuum process apparaus.
  329. Carver ; Jr. Edward L. (Oxford CT) Skiptunas Steven (Naugatuck CT), Valve assembly.
  330. Lu, Siqing; Chang, Yu; Sun, Dongxi; Dang, Vinh; Yang, Michael X.; Chang, Anzhong; Nguyen, Anh N.; Xi, Ming, Valve control system for atomic layer deposition chamber.
  331. Lu,Siqing; Chang,Yu; Sun,Dongxi; Dang,Vinh; Yang,Michael X.; Chang,Anzhong (Andrew); Nguyen,Anh N.; Xi,Ming, Valve control system for atomic layer deposition chamber.
  332. Ku,Vincent W.; Chen,Ling; Wu,Dien Yeh, Valve design and configuration for fast delivery system.
  333. Ku,Vincent W.; Chen,Ling; Wu,Dien Yeh, Valve design and configuration for fast delivery system.
  334. Murota Junichi,JPX ; Ono Shoichi,JPX ; Sakuraba Masao,JPX ; Mikoshiba Nobuo,JPX ; Kurokawa Harushige,JPX ; Ikeda Fumihide,JPX, Vapor depositing method.
  335. Ishizumi Takashi,JPX ; Kaneiwa Shinji,JPX, Vapor growth apparatus and vapor growth method capable of growing good productivity.
  336. Gregg, John; Battle, Scott; Banton, Jeffrey I.; Naito, Donn; Fuierer, Marianne, Vaporizer delivery ampoule.
  337. Tepman, Avi; Lei, Lawrence Chung-lai, Variable flow deposition apparatus and method in semiconductor substrate processing.
  338. Chiang, Tony P.; Leeser, Karl F.; Brown, Jeffrey A.; Babcoke, Jason E., Variable gas conductance control for a process chamber.
  339. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  340. Somekh Sasson R. (Los Altos Hills CA) Salzman Philip M. (San Jose CA) Vierny Oskar U. (Palo Alto CA), Wafer handling within a vacuum chamber using vacuum.
  341. Edwards Richard C. (Ringwood NJ) Kolesa Michael S. (Suffern NY) Ishikawa Hiroichi (Mahwah NJ), Wafer processing cluster tool batch preheating and degassing apparatus.
  342. Adams David V. (San Jose CA) Anderson Roger N. (San Jose CA), Wafer reactor vessel window with pressure-thermal compensation.
  343. Thorne James M. (Provo UT) Shurtleff James K. (Sandy UT) Allred David D. (Provo UT) Perkins Raymond T. (Provo UT), X-ray wave diffraction optics constructed by atomic layer epitaxy.
  344. Izumi Hirohiko (Sagamihara JPX), .

이 특허를 인용한 특허 (7)

  1. Lam, Hyman W. H.; Zheng, Bo; Ai, Hua; Jackson, Michael; Yuan, Xiaoxiong; Wang, Hougong; Umotoy, Salvador P.; Yu, Sang Ho, Apparatuses and methods for atomic layer deposition.
  2. Lam, Hyman W. H.; Zheng, Bo; Ai, Hua; Jackson, Michael; Yuan, Xiaoxiong; Wang, Hougong; Umotoy, Salvador P.; Yu, Sang Ho, Apparatuses and methods for atomic layer deposition.
  3. Lam, Hyman; Zheng, Bo; Ai, Hua; Jackson, Michael; Yuan, Xiaoxiong (John); Wang, Hou Gong; Umotoy, Salvador P.; Yu, Sang Ho, Apparatuses and methods for atomic layer deposition.
  4. Begarney, Michael J.; Campanale, Frank J., Chemical vapor deposition reactor.
  5. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  6. Schmidt, Ryan M; Verghese, Mohith, Gas mixer and manifold assembly for ALD reactor.
  7. Birtcher, Charles Michael; Steidl, Thomas Andrew; Lei, Xinjian; Ivanov, Sergei Vladimirovich, Vessel with filter.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로