$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Microprocessor system and method for instruction-initiated recording and execution of instruction sequences in a dynamically decoupleable extended instruction pipeline 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G06F-009/30
출원번호 US-0528338 (2006-09-28)
등록번호 US7971042 (2011-06-15)
발명자 / 주소
  • Graham, Carl Norman
  • Jones, Simon
  • Lim, Seow Chuan
  • Nemouchi, Yazid
  • Wong, Kar-Lik
  • Aristodemou, Aris
출원인 / 주소
  • Synopsys, Inc.
대리인 / 주소
    Fenwick & West LLP
인용정보 피인용 횟수 : 1  인용 특허 : 130

초록

Systems and methods for recording instruction sequences in a microprocessor having a dynamically decoupleable extended instruction pipeline. A record instruction including a record start address is sent to the extended pipeline. The extended pipeline thus begins recording the subsequent instruction

대표청구항

The invention claimed is: 1. A microprocessor architecture having decoupled fetch-execution cycles for at least two instruction pipelines, comprising:a main instruction pipeline operating at a first clock frequency; andan extended instruction pipeline,wherein the main instruction pipeline is configu

이 특허에 인용된 특허 (130)

  1. Ozawa Yuji,JPX ; Abiko Shigeshi,JPX ; Boutaud Frederic,FRX, Address generating circuit for block repeat addressing for a pipelined processor.
  2. Henry,G. Glenn; McDonald,Thomas C., Apparatus and method for densely packing a branch instruction predicted by a branch target address cache and associated target instructions into a byte-wide instruction buffer.
  3. Henry,G. Glenn; Hooker,Rodney E.; Parks,Terry, Apparatus and method for extending a microprocessor instruction set.
  4. Henry G. Glenn ; Parks Terry, Apparatus and method for recording a floating point error pointer in zero cycles.
  5. Henry, G. Glenn; McDonald, Thomas C., Apparatus and method for selectively accessing disparate instruction buffer stages based on branch target address cache hit and instruction stage wrap.
  6. Col, Gerard M.; Henry, G. Glenn; Jain, Dinesh K., Apparatus and method for speculatively updating global branch history with branch prediction prior to resolution of branch outcome.
  7. Col Gerard M. ; Henry G. Glenn ; Jain Dinesh K., Apparatus and method for speculatively updating global history and restoring same on branch misprediction detection.
  8. Crawford John H. ; Choudhury Mustafiz R., Apparatus and method for swapping the byte order of a data item to effectuate memory format conversion.
  9. Blaner Bartholomew (Newark Valley NY) Vassiliadis Stamatis (Vestal NY), Apparatus for initializing branch prediction information.
  10. Henry, G. Glenn; Parks, Terry, Apparatus for performing branch target address calculation based on branch type.
  11. Sfarti Adrian (Sunnyvale CA) Goettsch Randy (Sunnyvale CA), Array-organized bit map with a barrel shifter.
  12. Earl A. Killian ; Ricardo E. Gonzalez ; Ashish B. Dixit ; Monica Lam ; Walter D. Lichtenstein ; Christopher Rowen ; John C. Ruttenberg ; Robert P. Wilson ; Albert Ren-Rui Wang ; Dror Eliezer, Automated processor generation system for designing a configurable processor and method for the same.
  13. Mallick Soummya ; Loper Albert John, Automatic selection of branch prediction methodology for subsequent branch instruction based on outcome of previous bran.
  14. Ito Akira (Kawasaki JPX), Barrel shifter.
  15. Niimi Hiroko (Kanagawa JPX), Barrel shifter circuit having rotation function.
  16. Tokumaru Takeji (Yokohama JPX), Barrel shifter for rotating data with or without carry.
  17. Sites Richard Lee ; Witek Richard T., Branch prediction in high-performance processor.
  18. Rim Min-Joong,KRX, Circuit and method for overflow detection in a digital signal processor having a barrel shifter and arithmetic logic uni.
  19. Arlid,Bertelrud; Russell,Franz, Compression of a data stream by selection among a set of compression tools.
  20. Thayer John S. ; Thome Gary W. ; Favor John G. ; Weber Frederick D., Computer having multimedia operations executable as two distinct sets of operations within a single instruction cycle.
  21. Puziol David L. (Sunnyvale CA) Van Dyke Korbin S. (Fremont CA) Widigen Larry (Salinas CA) Shar Len (Menlo Park CA) Smith ; III Walstein B. (San Jose CA), Configurable branch prediction for a processor performing speculative execution.
  22. Barry, Edwin F., Control processor dynamically loading shadow instruction register associated with memory entry of coprocessor in flexible coupling mode.
  23. Yoshida Toyohiko (Itami JPX), Data processing having incircuit emulation function.
  24. Pardo Ilan (Jerusalem ILX) Libman Yair (Ramat Gan ILX), Data processing system and method for testing a data processor having a cache memory.
  25. Nakagawa Katsuhiko (Tokyo JPX), Data processor including testing structure for a barrel shifter.
  26. Black Bryan P. (Austin TX) Denman Marvin A. (Austin TX), Data processor with branch target address cache and method of operation.
  27. Morris,Chris, Data processor with enhanced instruction execution and method.
  28. Miura,Hirotsuna; Ishikawa,Masaki, Deblocking and deringing apparatus, program and method.
  29. Baird Brian R. ; Richter David E. ; Thusoo Shalesh ; Stark David M. ; Blomgren James S., Debug and video queue for multi-processor chip.
  30. Kageshima,Atsushi, Debugging Method.
  31. Deering Michael F., Decompression of surface normals in three-dimensional graphics data.
  32. White Christopher E. ; Fourcroy Antone L., Detecting self-modifying code in a pipelined processor with branch processing by comparing latched store address to sub.
  33. Andreas David C. (723 Southern Dr. West Chester PA 19380) Dattorro Jon (488 Lynetree Dr. West Chester PA 19380) Mauchly J. William (199 Cassatt Rd. Berwyn PA 19312), Digital signal processor for audio applications.
  34. Bonet Luis A. ; Yatim David ; Girardeau ; Jr. James W., Digital signal processor for executing multiple instruction words.
  35. Kogge Peter M. (Endicott NY), Dynamic multi-mode parallel processing array.
  36. Stamm Rebecca L. (Boston MA) Bahar R. Iris (Belmont MA) Callander Michael (Hudson MA) Chao Linda (Chelmsford MA) Meyer Derrick R. (Watertown MA) Sanders Douglas (Framingham MA) Sites Richard L. (Boyl, Error transition mode for multi-processor system.
  37. Root, Stephen C.; Kessler, Richard E.; Asher, David H.; Lilly, Brian, Fast lane prefetching.
  38. Mor Yeshayahu (Cupertino CA) Schatzberger Yeshayahu (Haifa CA ILX) Sandman Leonardo (Cupertino CA), Fast shifter method and structure.
  39. Bourekas Philip A. (San Jose CA) Mor Yeshayahu (Cupertino CA) Revak Scott (Castro Valley CA), Hardware control structure and method for off-chip monitoring entries of an on-chip cache.
  40. Hsu, Wei C.; Benitez, Manuel, Hardware/software system for profiling instructions and selecting a trace using branch history information for branch predictions.
  41. Nguyen, Le Trong; Lentz, Derek J.; Miyayama, Yoshiyuki; Garg, Sanjiv; Hagiwara, Yasuaki; Wang, Johannes; Lau, Te-Li; Wang, Sze-Shun; Trang, Quang H., High-performance, superscalar-based computer system with out-of-order instruction execution.
  42. Crosetto Dario B., High-speed, parallel, processor architecture for front-end electronics, based on a single type of ASIC, and method use t.
  43. Levy Paul S., Host processor and coprocessor arrangement for processing platform-independent code.
  44. Moty Mehalel IL, Integral modular cache for a processor.
  45. Guttag Karl M. (4015 S. Sandy Ct. Missouri City ; Fort Bend County TX 77459) Read Christopher J. (11807 Burlingame Houston ; Harris County TX 77099) Balmer Keith (6 Salcombe Close Bedfordshire County, Long instruction word controlling plural independent processor operations.
  46. Sankaran,Jagadeesh, Loop deblock filtering of block coded video in a very long instruction word processor.
  47. Chung, Seung Jae; Kim, Yong Chun, Loop instruction processing using loop buffer in a data processing device having a coprocessor.
  48. Joch,Anthony; Au,James; Lin,Yu sheng Brandon, Low-complexity deblocking filter.
  49. Hsieh, Jeff Y. F.; Meng, Teresa H. Y., Low-power parallel processor and imager having peripheral control circuitry.
  50. Prakash, Raj, Mechanism for error handling in a computer system.
  51. Johnson Stephen G., Method and apparatus for compressing images.
  52. Mohammad A. Abdallah ; Vladimir Pentkovski, Method and apparatus for computing a sum of packed data elements using SIMD multiply circuitry.
  53. Inoue Aiichiro (Kawasaki JPX), Method and apparatus for controlling instruction in pipeline processor.
  54. Dowling Eric M., Method and apparatus for high performance branching in pipelined microsystems.
  55. Coombs, Robert; Talbot, Jonathan; Worm, Alexander, Method and apparatus for implementing a data processor adapted for turbo decoding.
  56. Hakewill, James Robert Howard; Sanders, John, Method and apparatus for jump control in a pipelined processor.
  57. Olson, Timothy Alan; Blomgren, James S., Method and apparatus for pre-branch instruction.
  58. Guenthner Russell W. (Glendale AZ) Shelly William A. (Phoenix AZ) Presley-Nelson Gary R. (San Francisco CA) Marietta Kala J. (Phoenix AZ) Wade R. Morse (Sunnyvale CA), Method and apparatus for prefetching instructions for a central execution pipeline unit.
  59. Mowry Todd C. (Palo Alto CA) Killian Earl A. (Los Altos CA), Method and apparatus for reducing delays following the execution of a branch instruction in an instruction pipeline.
  60. Bonet Luis A. (Austin TX) Yatim David (Austin TX) Girardeau ; Jr. James W. (Austin TX), Method and apparatus of an enhanced digital signal processor.
  61. Fong Anthony S.,HKX, Method and apparatus to specify access control list and cache enabling and cache coherency requirement enabling on individual operands of an instruction of a computer.
  62. Kirsch, Graham, Method and circuit for alignment of floating point significants in a SIMD array MPP.
  63. Kirsch, Graham, Method and circuit for normalization of floating point significants in a SIMD array MPP.
  64. Devimeux Daniel (Perros-Guirec FRX) Jolivet Jean-Claude (St-Michael En Greve FRX), Method and device for spatial filtering of digital images decoded by transformation of block.
  65. Feierbach Gary ; Patel Mukesh, Method and system for coupling a stack based processor to register based functional unit.
  66. Verity, Steve, Method and system for exposing proprietary APIs in a privileged device driver to an application.
  67. Bose Pradip ; Chan Kin Shing ; Le Hung Qui ; Wasmuth Robert Eric, Method and system for reducing average branch resolution time and effective misprediction penalty in a processor.
  68. Hunter, Jeff L.; Buser, Mark L.; Lee, Bruce W.C.; Ali, Imtaz, Method for maintaining cache coherency in software in a shared memory system.
  69. Reininger Russell (Austin TX) Ledbetter ; Jr. William B. (Austin TX), Method for refilling instruction queue by reading predetermined number of instruction words comprising one or more instr.
  70. Macy, Jr., William W.; Nguyen, Huy V., Method, apparatus, and instruction for performing a sign operation that multiplies.
  71. Sazzad, Sharif Mohammad; Plotnick, Michael A., Methods and apparatus for performing a signed saturation operation.
  72. Hunt Douglas B., Methods and apparatus for reducing interference in a branch history table of a microprocessor.
  73. Cai George Z. N. ; Shiell Jonathan H., Microprocessor circuits, systems, and methods for speculatively executing an instruction using its most recently used da.
  74. Kroesche, David E.; Clark, Michael T., Microprocessor employing a fixed position dispatch unit.
  75. Frank Worrell ; Hartvig Ekner DK, Microprocessor employing branch instruction to set compression mode.
  76. Arakawa Fumio (Tokyo JPX) Okada Tetsuhiko (Tama JPX) Kawasaki Ikuya (Tokyo JPX), Microprocessor having ability to carry out logical operation on internal bus.
  77. Komoto Yasuhiko (Tokyo JPX) Kaneko Hiroaki (Tokyo JPX), Microprocessor having barrel shifter and direct path for directly rewriting output data of barrel shifter to its input.
  78. Suzuki Nariko (Tokyo JPX), Microprocessor having branch prediction function.
  79. Thayer John S. ; Favor John Gregory ; Weber Frederick D., Microprocessor modified to perform inverse discrete cosine transform operations on a one-dimensional matrix of numbers within a minimal number of instructions.
  80. Witt David B., Microprocessor with dynamically extendable pipeline stages and a classifying circuit.
  81. Mann Daniel Peter, Microprocessor-based device incorporating a cache for capturing software performance profiling data.
  82. Weigand, David, Microwire dynamic sequencer pipeline stall.
  83. Essenwanger, Kenneth Alan, Multi-bit output DDS with real time delta sigma modulation look up from memory.
  84. Macy, Jr.,William W., Nonlinear filtering and deblocking applications utilizing SIMD sign and absolute value operations.
  85. Larri Guy,GBX, Operand supply to an execution unit.
  86. Tse-Yu Yeh ; Mitchell Alexander Poplingher ; Monis Rahman, Optimized branch predictions for strongly predicted compiler branches.
  87. Wu Youfeng (Aloha OR), Optimizing compiler with static prediction of branch probability, branch frequency and function frequency.
  88. Henry, G. Glenn; Parks, Terry, Paired register exchange using renaming register map.
  89. Kim Won S. (Fremont CA) Nickolls John R. (Los Altos CA), Parallel processor system with highly flexible local control capability, including selective inversion of instruction si.
  90. Narita Susumu (Kokubunji JPX) Hanawa Makoto (Kokubunji JPX) Nishimukai Tadahiko (Sagamihara JPX) Okada Tetsuhiko (Fuchu JPX), Pipeline processor with prefetch circuit.
  91. Taylor, Ralph Clayton; Mantor, Michael; Goel, Vineet; Cook, Val Gene; Krupnik, Stuart, Pixel engine.
  92. McMahan Steven C. (Richardson TX), Prefetch buffer using flow control bit to identify changes of flow within the code stream.
  93. Sites Richard Lee ; Witek Richard T., Prefetch instruction for improving performance in reduced instruction set processor.
  94. Molloy, Stephen A., Processor architecture for compression and decompression of video and images.
  95. Garg Sanjiv (Fremont CA) Lentz Derek J. (Los Gatos CA) Nguyen Le T. (Monte Sereno CA) Chen Sho L. (Saratoga CA), RISC microprocessor architecture implementing multiple typed register sets.
  96. Martemyanov,Alexey; Terterov,Nick; Zheludkov,Alexander; Dolgoborodov,Alexey; Semenyuk,Vladimir; Neimark,Eugene; Terterov,Irena, Real-time video coding/decoding.
  97. Rupp Charle R., Reconfigurable computer architecture for use in signal processing applications.
  98. Arnold, Jeffrey Mark; Banta, Gareld Howard; Johnson, Scott Daniel; Wang, Albert R., Reconfigurable instruction set computing.
  99. Douglas B. Hunt, Reducing branch prediction interference of opposite well behaved branches sharing history entry by static prediction correctness based updating.
  100. Song, Samuel Moon-Ho; Lee, Gunho, Regularized dequantizer for DCT-based transform coding.
  101. Partovi Hamid ; Holst John Christian ; Ben-Meir Amos, Self-timed pulse control circuit.
  102. Bosshart Patrick W. ; An Quang Dieu, Shifter circuit for an arithmetic logic unit in a microprocessor.
  103. Gehrig Wilfried (March DEX) Reich Werner (Emmendingen DEX), Simplified processor for digital filter applications.
  104. Mann Daniel P. ; Wakeland Carl K., Software debug port for a microprocessor.
  105. Mizumoto, Katsuya; Okuda, Ryosuke, Source level debugger for debugging source programs.
  106. Lin,Tao, Spacial deblocking method using limited edge differences only to linearly correct blocking artifact.
  107. Henry, G. Glenn; McDonald, Thomas C., Speculative hybrid branch direction predictor.
  108. Dowling Eric M., Split embedded DRAM processor.
  109. Dowling Eric M., Split embedded DRAM processor.
  110. Dowling, Eric M., Split embedded DRAM processor.
  111. G. Glenn Henry ; Terry Parks, Static branch prediction mechanism for conditional branch instructions.
  112. Henry, G. Glenn; Parks, Terry, Static branch prediction mechanism for conditional branch instructions.
  113. Baror Gigy (Austin TX) Case Brian W. (Sunnyvale CA) Fleck Rod G. (Munich CA DEX) Freidin Philip M. (Sunnyvale CA) Gupta Smeeta (Saratoga CA) Johnson William M. (San Jose CA) Kong Cheng-Gang (Saratoga, Streamlined instruction processor.
  114. Sowadsky Elliot A. (Santa Clara CA) Widigen Larry (Salinas CA) Puziol David L. (Sunnyvale CA) Van Dyke Korbin S. (Fremont CA), Superscalar execution unit for sequential instruction pointer updates and segment limit checks.
  115. Wichman,Shannon A.; Trombetta,Ramon C.; Chiang,Yetung P., System and method for cooperative operation of a processor and coprocessor.
  116. Gulsen Denis, System and method for fast context switching between tasks.
  117. Bridges Jeffrey Todd ; Collopy Thomas K. ; Dieffenderfer James N. ; Irene Thomas Joseph ; Linzer Harry I. ; Sartorius Thomas Andrew, System and method for program execution tracing within an integrated processor.
  118. Kuzara Eric J. (Colorado Springs CO) Blasciak Andrew J. (Colorado Springs CO) Parets Greg S. (Loveland CO), System for analyzing and debugging embedded software through dynamic and interactive use of code markers.
  119. Seawright J. Andrew ; Verbrugghe Robert J. ; Meyer Wolfgang B. ; Pangrle Barry M. ; Holtmann Ulrich E. ; Shah Pradip C., System for frame-based protocol, graphical capture, synthesis, analysis, and simulation.
  120. Rinkenberger Glenn Eric ; Oh William K. ; Harrison David Michael ; Perry Chuckwudi, System for monitoring program flow utilizing fixwords stored sequentially to opcodes.
  121. Lempel Oded,ILX, System for speculative branch target prediction having a dynamic prediction history buffer and a static prediction his.
  122. Blomgren James S. ; Brashears Cheryl Senter, Temporal re-alignment of a floating point pipeline to an integer pipeline for emulation of a load-operate architecture.
  123. Guttag Karl M. (Missouri City TX) Balmer Keith (Bedford GB2) Gove Robert J. (Plano TX) Read Christopher J. (Houston TX) Golston Jeremiah E. (Sugar Land TX) Poland Sydney W. (Katy TX) Ing-Simmons Nich, Three input arithmetic logic unit with mask generator.
  124. Guttag Karl M. (Sugar Land TX) Balmer Keith (Bedford GB2) Gove Robert J. (Plano TX) Read Christopher J. (Houston TX) Golston Jeremiah E. (Sugar Land TX) Poland Sydney W. (Katy TX) Ing-Simmons Nichola, Three input arithmetic logic unit with mask generator.
  125. Guttag Karl M. (Sugar Land TX) Balmer Keith (Bedford GB2) Gove Robert J. (Plano TX) Read Christopher J. (Houston TX) Golston Jeremiah E. (Sugar Land TX) Poland Sydney W. (Katy TX) Ing-Simmons Nichola, Three input arithmetic logic unit with shifting means at one input forming a sum/difference of two inputs logically ande.
  126. Paul K. Miller, Using padded instructions in a block-oriented cache.
  127. Green Thomas S., Using three-dimensional storage to make variable-length instructions appear uniform in two dimensions.
  128. Patterson, Jr., John L., Virtual device driver and methods employing the same.
  129. Dubey Pradeep Kumar ; Olsson Brett ; Roth Charles Philip ; Diefendorf Keith Everett ; Hochsprung Ronald Ray ; Scales ; III Hunter Ledbetter, Wide shifting in the vector permute unit.
  130. Pradeep Kumar Dubey ; Brett Olsson ; Charles Philip Roth ; Keith Everett Diefendorf ; Ronald Ray Hochsprung ; Hunter Ledbetter Scales, III, Wide shifting in the vector permute unit.

이 특허를 인용한 특허 (1)

  1. Bonanno, James J.; Prasky, Brian R.; Saporito, Anthony; Shum, Chung-Lung K., Mitigating instruction prediction latency with independently filtered presence predictors.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로