$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Hybrid fin field-effect transistor structures and related methods 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-029/76
  • H01L-029/94
출원번호 US-0125308 (2008-05-22)
등록번호 US-8183627 (2012-05-22)
발명자 / 주소
  • Currie, Matthew T.
출원인 / 주소
  • Taiwan Semiconductor Manufacturing Company, Ltd.
대리인 / 주소
    Slater & Matsil, LLP
인용정보 피인용 횟수 : 118  인용 특허 : 273

초록

Semiconductor-on-insulator structures facilitate the fabrication of devices, including MOSFETs that are at least partially depleted during operation and FinFETs including bilayer fins and/or crystalline oxide.

대표청구항

1. A structure comprising: a substrate having an insulator layer disposed thereon; anda fin field-effect transistor disposed over the substrate, the fin field-effect transistor including:a source region and a drain region disposed in contact with the insulator layer;at least one fin extending betwee

이 특허에 인용된 특허 (273)

  1. Lung Hsing Lan,TWX ; Lu Tao Cheng,TWX ; Wang Mam Tsung,TWX, 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate.
  2. Jack Oon Chu ; Khalid Ezzeldin Ismail, Advance integrated chemical vapor deposition (AICVD) for semiconductor.
  3. Chu Jack Oon ; Ismail Khalid Ezzeldin, Advance integrated chemical vapor deposition (AICVD) for semiconductor devices.
  4. Kub Francis J. ; Temple Victor ; Hobart Karl ; Neilson John, Advanced methods for making semiconductor devices by low temperature direct bonding.
  5. Bean John C. (New Providence NJ) Higashi Gregg S. (Basking Ridge NJ) Hull Robert (South Orange NJ) Peticolas Justin L. (Wescosville PA), Article comprising a lattice-mismatched semiconductor heterostructure.
  6. Chu Jack Oon ; Ismail Khalid Ezzeldin ; Lee Kim Yang ; Ott John Albrecht, Bulk and strained silicon on insulator using local selective oxidation.
  7. Chu Jack Oon ; Ismail Khalid Ezzeldin ; Lee Kim Yang ; Ott John Albrecht, Bulk and strained silicon on insulator using local selective oxidation.
  8. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  9. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  10. Fischer Hermann,DEX ; Hofmann Franz,DEX, CMOS integrated circuit including forming doped wells, a layer of intrinsic silicon, a stressed silicon germanium layer where germanium is between 25 and 50%, and another intrinsic silicon layer.
  11. Harame David L. (Mohegan Lake NY) Patton Gary L. (Poughkeepsie NY) Stork Maria C. (Yorktown Heights NY), Complementary bipolar transistor structure and method for manufacture.
  12. Wang Kang L. (Santa Monica CA) Woo Jason C. (Encino CA), Complementary field effect transistors having strained superlattice structure.
  13. Baca Albert G. (Albuquerque NM) Drummond Timothy J. (Albuquerque NM) Robertson Perry J. (Albuquerque NM) Zipperian Thomas E. (Albuquerque NM), Complementary junction heterostructure field-effect transistor.
  14. Ismail Khaled E. (Cairo NY EGX) Stern Frank (Pleasantville NY), Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers.
  15. Robert L. Thornton ; Christopher L. Chua, Compliant substrates for growing lattice mismatched films.
  16. Henley Francois J. ; Cheung Nathan W., Controlled cleaning process.
  17. Francois J. Henley ; Nathan W. Cheung, Controlled cleavage process and resulting device using beta annealing.
  18. Henley Francois J. ; Cheung Nathan W., Controlled cleavage process and resulting device using beta annealing.
  19. Henley Francois J. ; Cheung Nathan W., Controlled cleavage process using patterning.
  20. Henley, Francois J.; Cheung, Nathan W., Controlled cleaving process.
  21. Fitzgerald Eugene A., Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization.
  22. Fitzgerald Eugene A., Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization.
  23. Fitzgerald, Eugene A., Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization.
  24. Soref Richard A. (Newton Centre MA) Friedman Lionel (Holden MA), Direct-gap germanium-tin multiple-quantum-well electro-optical devices on silicon or germanium substrates.
  25. Bean Kenneth E. (Richardson TX) Malhi Satwinder S. (Garland TX) Runyan Walter R. (Dallas TX), Discretionary gettering of semiconductor circuits.
  26. Narayan Jagdish (Raleigh NC) Fan John C. C. (Chestnut Hill MA), Dislocation density reduction in gallium arsenide on silicon heterostructures.
  27. Boyanov, Boyan; Doyle, Brian; Kavalieros, Jack; Murthy, Anand; Chau, Robert, Double-gate transistor with enhanced carrier mobility.
  28. Harari Eliyahou ; Guterman Daniel C. ; Samachisa George ; Yuan Jack H., Dual floating gate EEPROM cell array with steering gates shared adjacent cells.
  29. Tatau Nishinaga JP, ELO semiconductor substrate.
  30. Tomioka Yugo (Sagamihara JPX) Iwasa Shoichi (Sagamihara JPX) Sato Yasuo (Sagamihara JPX) Wada Toshio (Sagamihara JPX) Anzai Kenji (Sagamihara JPX), Electrically alterable n-bit per cell non-volatile memory with reference cells.
  31. Candelaria Jon J. (Tempe AZ), Enhanced mobility MOSFET device and method.
  32. Dakshina-Murthy, Srikanteswara; Yang, Chih-Yuh; Yu, Bin, Epitaxially grown fin for FinFET.
  33. Wu, Kenneth C.; Fitzgerald, Eugene A.; Borenstein, Jeffrey T., Etch stop layer system.
  34. Joshi, Rajiv V; Williams, Richard Q, FET channel having a strained lattice structure along multiple surfaces.
  35. Minoru Kubo JP; Katsuya Nozawa JP; Masakatsu Suzuki JP; Takeshi Uenoyama JP; Yasuhito Kumabuchi JP, FET having a Si/SiGeC heterojunction channel.
  36. Dennard Robert H. (Peekskill NY) Meyerson Bernard S. (Yorktown Heights NY) Rosenberg Robert (Peekskill NY), Fabrication of defect free silicon on an insulating substrate.
  37. Biegelsen David K. (Portola Valley CA) Sheridon Nicholas K. (Los Altos CA) Johnson Noble M. (Menlo Park CA), Fabrication of quantum confinement semiconductor light-emitting devices.
  38. Kub Francis J. ; Hobart Karl D., Fabrication ultra-thin bonded semiconductor layers.
  39. Kinoshita,Atsuhiro; Koga,Junji, Field effect transistor and manufacturing method thereof.
  40. Matsushita,Daisuke; Nishikawa,Yukie; Satake,Hideki; Fukushima,Noburu, Field effect transistor and method of manufacturing the same.
  41. Lin, Ming-Ren; Goo, Jung-Suk; Wang, Haihong; Xiang, Qi, FinFET device incorporating strained silicon in the channel region.
  42. Yu,Bin; Wang,Haihong, Flash memory device.
  43. Mei Shaw-Ning ; Vishnesky Edward J., Flash memory structure with floating gate in vertical trench.
  44. Maszara,Witold P.; Goo,Jung Suk; Pan,James N.; Xiang,Qi, Formation of finFET using a sidewall epitaxial layer.
  45. Lee, Minjoo L.; Leitz, Christopher W.; Fitzgerald, Eugene A., Formation of planar strained layers.
  46. Seliskar,John J., Fully-depleted castellated gate MOSFET device and method of manufacture thereof.
  47. Fitzgerald, Eugene A.; Hammond, Richard; Currie, Matthew, Gate technology for strained surface channel and strained buried channel MOSFET devices.
  48. Nathan W. Cheung ; Francois J. Henley, Generic layer transfer methodology by controlled cleavage process.
  49. Crabbe' Emmanuel ; Meyerson Bernard Steele ; Stork Johannes Maria Cornelis ; Verdonckt-Vandebroek Sophie, Graded channel field effect transistor.
  50. Kitahara Kuninori (Zama JPX) Ohtsuka Nobuyuki (Atsugi JPX) Ozeki Masashi (Yokohama JPX), Hetero-epitaxially grown compound semiconductor substrate.
  51. Fitzgerald, Eugene A., Heterointegration of materials using deposition and bonding.
  52. Fitzgerald, Eugene A., Heterointegration of materials using deposition and bonding.
  53. Fitzgerald, Eugene A., Heterointegration of materials using deposition and bonding.
  54. Mori Hideki,JPX ; Gomi Takayuki,JPX, Heterojunction bipolar semiconductor device.
  55. Endo Takahiko (Hino JPX) Katoh Riichi (Yokohama JPX), Heterojunction bipolar transistor.
  56. Takagi, Takeshi; Yuki, Koichiro; Toyoda, Kenji; Kanzawa, Yoshihiko, Heterojunction bipolar transistor and method for fabricating the same.
  57. Forbes Leonard ; Noble Wendell P., High density flash memory.
  58. William E. Hoke ; Peter J. Lemonias ; Theodore D. Kennedy, High electron mobility transistor.
  59. Shimomura Hiroshi,JPX ; Hirai Takehiro,JPX ; Hayashi Joji,JPX ; Nakamura Takashi,JPX, High frequency ring gate MOSFET.
  60. Nowak, Edward J.; Rainey, BethAnn, High mobility crystalline planes in double-gate CMOS technology.
  61. Anderson,Brent A.; Leong,MeiKei; Nowak,Edward J., High mobility plane CMOS SOI.
  62. Anderson, Brent A.; Baie, Xavier; Mann, Randy W.; Nowak, Edward J.; Rankin, Jed H., High mobility transistors in SOI and method for forming.
  63. Chu, Jack Oon, High performance FET devices and methods therefor.
  64. Furukawa Toshiharu ; Ellis-Monaghan John Joseph ; Slinkman James Albert, High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe.
  65. Jack Oon Chu ; Richard Hammond ; Khalid EzzEldin Ismail ; Steven John Koester ; Patricia May Mooney ; John A. Ott, High speed composite p-channel Si/SiGe heterostructure for field effect devices.
  66. Wong Chun Chiu D., Highly compact memory device with nonvolatile vertical transistor memory cell.
  67. Broekaert Tom P. E., III-V nitride resonant tunneling.
  68. Sugii, Nobuyuki; Ohnishi, Kazuhiro; Washio, Katsuyoshi, Insulated-gate field-effect transistor, method of fabricating same, and semiconductor device employing same.
  69. Yoshimi Makoto (Tokyo JPX) Inaba Satoshi (Tokyo JPX) Murakoshi Atsushi (Tokyo JPX) Terauchi Mamoru (Tokyo JPX) Shigyo Naoyuki (Tokyo JPX) Matsushita Yoshiaki (Tokyo JPX) Aoki Masami (Tokyo JPX) Hamam, Insulated-gate transistor having narrow-bandgap-source.
  70. Lustig Bernhard,DEX ; Schaefer Herbert,DEX ; Franosch Martin,DEX, Integrated CMOS circuit arrangement and method for the manufacture thereof.
  71. Muller Heinrich G., Inverted layer epitaxial liftoff process.
  72. Chu, Jack Oon; DiMilia, David R.; Huang, Lijuan, Layer transfer of low defect SiGe using an etch-back process.
  73. Legoues Francoise Kolmer (Peekskill NY) Meyerson Bernard Steele (Yorktown Heights NY), Low defect density/arbitrary lattice constant heteroepitaxial layers.
  74. Ouyang, Qiqing Christine; Chu, Jack Oon, Low leakage heterojunction vertical transistors and high performance devices thereof.
  75. Bhat Rajaram (Red Bank NJ) Lo Yu-hwa (Ithaca NY), Low-temperature fusion of dissimilar semiconductors.
  76. Meyerson Bernard S. (Yorktown Heights NY), Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers.
  77. Henley, Francois J.; Cheung, Nathan W., Method and device for controlled cleaving process.
  78. Francois J. Henley ; Sien G. Kang ; Igor J. Malik, Method and system for generating a plurality of donor wafers and handle wafers prior to an order being placed by a customer.
  79. Bruel Michel,FRX ; Aspar Bernard,FRX, Method for achieving a thin film of solid material and applications of this method.
  80. Henley Francois J. ; Cheung Nathan W., Method for controlled cleaving process.
  81. Cheung, Nathan W.; En, William G.; Farrens, Sharon N.; Korolik, Mikhail, Method for fabricating multi-layered substrates.
  82. Kub Francis J. ; Hobart Karl D., Method for fabricating singe crystal materials over CMOS devices.
  83. Christiansen, Silke H.; Grill, Alfred; Mooney, Patricia M., Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same.
  84. Alexander Yuri Usenko, Method for forming IC's comprising a highly-resistive or semi-insulating semiconductor substrate having a thin, low resistance active semiconductor layer thereon.
  85. Pham, Daniel T.; Barr, Alexander L.; Mathew, Leo; Nguyen, Bich-Yen; Vandooren, Anne M.; White, Ted R., Method for forming a double-gated semiconductor device.
  86. Liaw Hang Ming ; Burt Curtis Lee ; Hong Stella Q. ; Stein Clifford P., Method for forming a semiconductor device having a heteroepitaxial layer.
  87. Taylor, Jr., William J.; Adetutu, Olubunmi O.; Anderson, Steven G. H., Method for forming an electronic structure using etch.
  88. Albert Feng-Der Chin TW; Ming-Jang Hwang TW, Method for forming an epitaxial silicon-germanium layer.
  89. Pfiester James R. (Austin TX) Kirsch Howard C. (Austin TX), Method for forming isolation regions in a semiconductor device.
  90. Nakato Tatsuo, Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant.
  91. Krivokapic, Zoran; An, Judy Xilin; Yu, Bin, Method for forming tri-gate FinFET with mesa isolation.
  92. Aspar Bernard,FRX ; Bruel Michel,FRX, Method for making a thin film of solid material.
  93. Brasen Daniel (Lake Hiawatha NJ) Fitzgerald ; Jr. Eugene A. (Bridgewater NJ) Green Martin L. (New Providence NJ) Xie Ya-Hong (Flemington NJ), Method for making low defect density semiconductor heterostructure and devices made thereby.
  94. Selvakumar Chettypalayam R. (Waterloo CAX) Chamberlain Savvas G. (Waterloo CAX), Method for making silicon-germanium devices using germanium implantation.
  95. Matsui Masaki,JPX ; Yamauchi Shoichi,JPX ; Ohshima Hisayoshi,JPX ; Onoda Kunihiro,JPX ; Asai Akiyoshi,JPX ; Sasaya Takanari,JPX ; Enya Takeshi,JPX ; Sakakibara Jun,JPX, Method for manufacturing a semiconductor substrate.
  96. Alexander Usenko, Method for micro-mechanical structures.
  97. Sakaguchi Kiyofumi (Atsugi JPX) Yonehara Takao (Atsugi JPX) Miyawaki Mamoru (Isehara JPX), Method for preparing a semiconductor substrate using porous silicon.
  98. Kibbel Horst,DEX ; Kuchenbecker Jessica,DEX, Method for producing epitaxial silicon germanium layers.
  99. Lo Yu-Hwa ; Ejeckam Felix, Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates.
  100. Kuwabara, Susumu; Mitani, Kiyoshi; Tate, Naoto; Nakano, Masatake; Barge, Thierry; Maleville, Christophe, Method for reclaiming delaminated wafer and reclaimed delaminated wafer.
  101. Cheng,Kaun Lun; Cheng,Shui Ming; Yao,Yu Yuan; Fung,Ka Hing; Chang,Sun Jay, Method for selectively forming strained etch stop layers to improve FET charge carrier mobility.
  102. Kant Shree, Method for sizing PMOS pull-up devices.
  103. Goesele Ulrich M. ; Tong Q.-Y., Method for the transfer of thin layers of monocrystalline material to a desirable substrate.
  104. Hiroji Aga JP; Naoto Tate JP; Kiyoshi Mitani JP, Method of Fabricating SOI wafer by hydrogen ION delamination method and SOI wafer fabricated by the method.
  105. Fu,Chu Yun; Lu,Chih Cheng; Jang,Syun Ming, Method of achieving improved STI gap fill with reduced stress.
  106. Mori Kazuo,JPX, Method of bonding a III-V group compound semiconductor layer on a silicon substrate.
  107. Grupen-Shemansky Melissa E. (Phoenix AZ) Cambou Bertrand F. (Mesa AZ), Method of bonding silicon and III-V semiconductor materials.
  108. Meyerson Bernard S. (Yorktown Heights NY), Method of dopant enhancement in an epitaxial silicon layer by using germanium.
  109. Fitzgerald, Eugene A.; Gerrish, Nicole, Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs.
  110. Fitzgerald, Eugene A.; Gerrish, Nicole, Method of fabricating CMOS inverter and integrated circuits utilizing strained surface channel MOSFETS.
  111. Gaul Stephen J. (Melbourne FL) Rouse George V. (Indialantic FL), Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process.
  112. Fitzgerald, Eugene A., Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits.
  113. Gardner Mark I. ; Fulford H. Jim ; Wristers Derick J., Method of fabricating a transistor with a dielectric underlayer and device incorporating same.
  114. Mohammad S. Noor (Hopewell Junction NY), Method of fabricating a triple heterojunction bipolar transistor.
  115. Xiang,Qi; Pan,James N.; Goo,Jung Suk, Method of fabricating an integrated circuit channel region.
  116. Dennard Robert H. (Peekskill NY) Meyerson Bernard S. (Yorktown Heights NY) Rosenberg Robert (Peekskill NY), Method of fabricating defect-free silicon on an insulating substrate.
  117. Kidoguchi, Isao; Ishibashi, Akihiko; Miyanaga, Ryoko; Sugahara, Gaku; Suzuki, Masakatsu; Kume, Masahiro; Ban, Yuzaburo; Morita, Kiyoyuki; Tsujimura, Ayumu; Hasegawa, Yoshiaki, Method of fabricating nitride semiconductor, method of fabricating nitride semiconductor device, nitride semiconductor device, semiconductor light emitting device and method of fabricating the same.
  118. Lynch William T. (Apex NC) Wang Kang L. (Santa Monica CA) Tanner Martin O. (Duarte CA), Method of fabricating quantum bridges by selective etching of superlattice structures.
  119. Fiorini Paolo,BEX ; Sedky Sherif,EGX ; Caymax Matty,BEX ; Baert Christiaan,BEX, Method of fabrication of an infrared radiation detector and infrared detector device.
  120. Bin Yu ; William G. En ; Judy Xilin An ; Concetta E. Riccobene, Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer.
  121. Bin Yu, Method of forming a double gate transistor having an epitaxial silicon/germanium channel region.
  122. Tejwani Manu J. (Yorktown Heights NY) Iyer Subramanian S. (Yorktown Heights NY), Method of forming an ultra-uniform silicon-on-insulator layer.
  123. Gardner Mark I. ; Nguyen Thien T., Method of forming an ultrathin gate dielectric.
  124. Djomehri, Ihsan J.; Goo, Jung-Suk; Krishnan, Srinath; Maszara, Witold P.; Pan, James N.; Xiang, Qi, Method of growing as a channel region to reduce source/drain junction capacitance.
  125. Ohori Tatsuya (Kawasaki JPX), Method of growing compound semiconductor.
  126. Doyle Brian S. ; Roberds Brian ; Lee Jin, Method of increasing the mobility of MOS transistors by use of localized stress regions.
  127. Takasaki Kanetake (Kawasaki JPX), Method of making a compound semiconductor crystal-on-substrate structure.
  128. Gardner Mark I. ; Fulford H. Jim ; Wristers Derick J., Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication.
  129. Chidambarrao,Dureseti; Dokumaci,Omer, Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby.
  130. Nobuyoshi Hattori JP; Satoshi Yamakawa JP; Junji Nakanishi JP, Method of manufacturing SOI substrate and semiconductor device.
  131. Naruse Hiroshi (Yokohama JPX), Method of manufacturing a bonded semiconductor substrate and a dielectric isolated bipolar transistor.
  132. Sugiyama, Naoharu; Kurobe, Atsushi; Tezuka, Tsutomu; Mizuno, Tomohisa; Takagi, Shinichi, Method of manufacturing a substrate using an SiGe layer.
  133. Yonehara, Takao; Watanabe, Kunio; Shimada, Tetsuya; Ohmi, Kazuaki; Sakaguchi, Kiyofumi, Method of manufacturing semiconductor wafer method of using and utilizing the same.
  134. Aspar Bernard,FRX ; Biasse Beatrice,FRX ; Bruel Michel,FRX, Method of obtaining a thin film of semiconductor material.
  135. Akiyama, Shoji; Tamatsuka, Masaro, Method of producing a bonded wafer and the bonded wafer.
  136. Godbey David J. (Burtonsville MD), Method of producing a silicon membrane using a silicon alloy etch stop layer.
  137. Aspar Bernard,FRX ; Bruel Michel,FRX ; Poumeyrol Thierry,FRX, Method of producing a thin layer of semiconductor material.
  138. Aspar Bernard,FRX ; Bruel Michel,FRX ; Poumeyrol Thierry,FRX, Method of producing a thin layer of semiconductor material.
  139. Godbey David J. (Bethesda MD) Hughes Harold L. (West River MD) Kub Francis J. (Severna Park MD), Method of producing a thin silicon-on-insulator layer.
  140. Bozler Carl O. (Sudbury MA) Fan John C. C. (Chestnut Hill MA) McClelland Robert W. (Weymouth MA), Method of producing sheets of crystalline material and devices made therefrom.
  141. Rona E. Belford, Method of producing strained microelectronic and/or optical integrated and discrete devices.
  142. Sakaguchi, Kiyofumi; Yonehara, Takao, Method of separation of semiconductor device.
  143. Donald F. Canaperi ; Jack Oon Chu ; Guy M. Cohen ; Lijuan Huang ; John Albrecht Ott ; Michael F. Lofaro, Method of wafer smoothing for bonding using chemo-mechanical polishing (CMP).
  144. Kern Rim, Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation.
  145. Chang Chun-Yen,TWX ; Lei Tan-Fu,TWX ; Lin Hsiao-Yi,TWX ; Cheng Juing-Yi,TWX, Method to fabricate the thin film transistor.
  146. Liu, Kaiping, Method to produce localized halo for MOS transistor.
  147. Yang,Fu Liang; Yeo,Yee Chia; Hu,Chenming, Methods and structures for planar and multiple-gate transistors formed on SOI.
  148. Gehrke Thomas ; Linthicum Kevin J. ; Davis Robert F., Methods of forming a plurality of semiconductor layers using spaced trench arrays.
  149. Belford, Rona Elizabeth, Methods of producing strained microelectronic and/or optical integrated and discrete devices.
  150. Dmbkes Heinrich (Ulm DEX) Herzog Hans-J. (Neu-Ulm DEX) Jorke Helmut (Gerstetten DEX), Modulation doped field effect transistor with doped SixGe1-x-intrinsic Si layering.
  151. Fattaruso John W., Monolithic inductor with guard rings.
  152. Paton, Eric N.; Xiang, Qi; Besser, Paul R.; Lin, Ming-Ren; Ngo, Minh V.; Wang, Haihong, Mosfets incorporating nickel germanosilicided gate and methods for their formation.
  153. Rim, Kern, Multiple gate MOSFET structure with strained Si Fin body.
  154. Arimilli, Ravi Kumar; Fields, Jr., James Stephen; Guthrie, Guy Lynn; Joyner, Jody Bern; Lewis, Jerry Don, Multiprocessor system bus protocol with group addresses, responses, and priorities.
  155. Krivokapic, Zoran; An, Judy Xilin; Dakshina-Murthy, Srikanteswara; Wang, Haihong; Yu, Bin, Narrow fin FinFET.
  156. Krivokapic, Zoran; An, Judy Xilin; Dakshina-Murthy, Srikanteswara; Wang, Haihong; Yu, Bin, Narrow fin FinFET.
  157. Yuhzoh Tsuda JP; Takayuki Yuasa JP, Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device.
  158. Otto Joachim (Unterschleisseheim DEX), Non-volatile memory cell.
  159. Brask,Justin K.; Doyle,Brian S.; Doczy,Mark L.; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  160. Gehrke, Thomas; Linthicum, Kevin J.; Davis, Robert F., Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on sapphire substrates.
  161. Henley Francois J. ; Cheung Nathan, Planarizing technique for multilayered substrates.
  162. Howe Roger T. ; Franke Andrea ; King Tsu-Jae, Polycrystalline silicon germanium films for forming micro-electromechanical systems.
  163. Kim Bumman (Richardson TX) Tserng Hua Q. (Dallas TX), Power MISFET.
  164. Henley Francois J. ; Cheung Nathan W., Pre-semiconductor process implant and post-process film separation.
  165. Canaperi, Donald F.; Chu, Jack Oon; D'Emic, Christopher P.; Huang, Lijuan; Ott, John Albrecht; Wong, Hon-Sum Philip, Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique.
  166. Levine Barry Franklin ; Pinzone Christopher James, Process for bonding crystalline substrates with different crystal lattices.
  167. Pfiester James R. (Austin TX), Process for fabricating a silicon on insulator field effect transistor.
  168. Alexander Y Usenko ; William N. Carr, Process for lift off and transfer of semiconductor devices onto an alien substrate.
  169. Alexander Yuri Usenko, Process for lift-off of a layer from a substrate.
  170. Alexander Yuri Usenko, Process for manufacturing a silicon-on-insulator substrate and semiconductor devices on said substrate.
  171. Bensahel Daniel,FRX ; Campidelli Yves,FRX ; Hernandez Caroline,FRX ; Rivoire Maurice,FRX, Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively.
  172. Kondo Shigeki (Hiratsuka JPX) Matsumoto Shigeyuki (Atsugi JPX) Ishizaki Akira (Atsugi JPX) Inoue Shunsuke (Yokohama JPX) Nakamura Yoshio (Atsugi JPX), Process for preparing semiconductor substrate by bonding to a metallic surface.
  173. Bruel Michel (Veurey FRX) du Port de Poncharra Jean (St. Martin-Le-Vinoux FRX), Process for producing an insulating layer buried in a semiconductor substrate by ion implantation.
  174. Cheng, Zhi-Yuan; Fitzgerald, Eugene A.; Antoniadis, Dimitri A.; Hoyt, Judy L., Process for producing semiconductor article using graded epitaxial growth.
  175. Cheng, Zhi-Yuan; Fitzgerald, Eugene A.; Antoniadis, Dimitri A.; Hoyt, Judy L., Process for producing semiconductor article using graded epitaxial growth.
  176. Cheng, Zhi-Yuan; Fitzgerald, Eugene A.; Antoniadis, Dimitri A.; Hoyt, Judy L., Process for producing semiconductor article using graded epitaxial growth.
  177. Iwasaki, Yukiko; Nishida, Shoji; Sakaguchi, Kiyofumi; Ukiyo, Noritaka, Process for producing semiconductor member, process for producing solar cell, and anodizing apparatus.
  178. Ruehrwein Robert A. (67 Hilton Ave. Garden City NY 11530), Process for production of III-V compound crystals.
  179. Bruel Michel,FRX ; Poumeyrol Thierry,FRX, Process for the production of a structure having a thin semiconductor film on a substrate.
  180. Bruel Michel (Veurey FRX), Process for the production of thin semiconductor material films.
  181. Biasse Beatrice,FRX ; Bruel Michel,FRX ; Zussy Marc,FRX, Process for transferring a thin film from an initial substrate onto a final substrate.
  182. Ek Bruce A. ; Iyer Subramanian Srikanteswara ; Pitner Philip Michael ; Powell Adrian R. ; Tejwani Manu Jamndas, Production of substrate for tensilely strained semiconductor.
  183. Tezuka Tsutomu,JPX ; Kurobe Atsushi,JPX, Quantum dot memory cell.
  184. Fitzgerald Eugene A. ; Bulsara Mayank T., Relaxed InxGa(1-x)as buffers.
  185. Christiansen, Silke H.; Chu, Jack O.; Grill, Alfred; Mooney, Patricia M., Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing.
  186. Christiansen, Silke H.; Chu, Jack O.; Grill, Alfred; Mooney, Patricia M., Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing.
  187. Fitzergald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  188. Fitzergald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  189. Fitzergald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  190. Fitzgerald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  191. Fitzgerald, Eugene A., Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits.
  192. Bin Yu, SOI chip having multiple threshold voltage MOSFETs by using multiple channel materials and method of fabricating same.
  193. Chan Kevin Kok ; Chu Jack Oon ; Ismail Khalid EzzEldin,EGX ; Rishton Stephen Anthony ; Saenger Katherine Lynn, Scalable MOS field effect transistor.
  194. Shimizu Hitoshi (Yokohama JPX) Hirayama Yoshiyuki (Yokohama JPX) Irikawa Michinori (Yokohama JPX), Schottky junction device having a Schottky junction of a semiconductor and a metal.
  195. Kamins Theodore I. (Palo Alto) Noble David B. (Sunnyvale) Hoyt Judy L. (Palo Alto) Gibbons James F. (Palo Alto) Scott Martin P. (San Francisco CA), Selective and non-selective deposition of Si1-xGex on a Si subsrate that is partially maske.
  196. Ozturk Mehmet C. (Cary NC) Grider Douglas T. (Raleigh NC) Sanganeria Mahesh K. (Raleigh NC) Ashburn Stanton P. (Cary NC), Selective deposition of doped silion-germanium alloy on semiconductor substrate.
  197. Ozturk Mehmet (Cary NC) Wortman Jimmie (Chapel Hill NC) Grider Douglas (Raleigh NC), Selective germanium deposition on silicon and resulting structures.
  198. Xiang, Qi; Pan, James N.; Lin, Ming Ren, Self aligned double gate transistor having a strained channel region and process therefor.
  199. Karl Brunner DE; Karl Eberl DE, Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates.
  200. Shinichi Takagi JP, Semiconductor device.
  201. Sugiyama Naoharu,JPX ; Kurobe Atsushi,JPX, Semiconductor device and memory device.
  202. Yuki, Koichiro; Saitoh, Tohru; Kubo, Minoru; Ohnaka, Kiyoshi; Asai, Akira; Katayama, Koji, Semiconductor device and method for fabricating the same.
  203. Naoharu Sugiyama JP; Atsushi Kurobe JP, Semiconductor device and method for manufacturing the same.
  204. Mizuno, Tomohisa; Sugiyama, Naoharu; Takagi, Shinichi, Semiconductor device and method of manufacturing the same.
  205. Mizuno, Tomohisa; Sugiyama, Naoharu; Takagi, Shinichi, Semiconductor device and method of manufacturing the same.
  206. Tokushige,Nobuaki, Semiconductor device and method of manufacturing the same.
  207. Tsutomu Tezuka JP, Semiconductor device and method of manufacturing the same.
  208. Usuda, Koji; Takagi, Shinichi, Semiconductor device and method of manufacturing the same.
  209. Otani Naoko,JPX ; Katayama Toshiharu,JPX, Semiconductor device comprising trench EEPROM.
  210. Xiang, Qi; Goo, Jung-Suk; Wang, Haihong, Semiconductor device having a thick strained silicon layer and method of its formation.
  211. Murthy Anand ; Jan Chia-Hong ; Andideh Ebrahim ; Weldon Kevin, Semiconductor device having deposited silicon regions and a method of fabrication.
  212. Cheng,Shui Ming; Chen,Hung Wei; Xuan,Zhong Tang, Semiconductor device having high drive current and method of manufacturing thereof.
  213. Wristers, Derick J.; Xiang, Qi; Buller, James F., Semiconductor device with tensile strain silicon introduced by compressive material in a buried oxide layer.
  214. Sugiyama Naoharu,JPX ; Mizuno Tomohisa,JPX ; Takagi Shinichi,JPX ; Kurobe Atsushi,JPX, Semiconductor devices and methods for producing semiconductor devices.
  215. Fitzgerald ; Jr. Eugene A. (Bridgewater NJ), Semiconductor devices with low dislocation defects.
  216. Brasen Daniel (Lake Hiawatha NJ) Fitzgerald ; Jr. Eugene A. (Bridgewater NJ) Green Martin L. (New Providence NJ) Monroe Donald P. (Berkeley Heights NJ) Silverman Paul J. (Millburn NJ) Xie Ya-Hong (Fl, Semiconductor heterostructure devices with strained semiconductor layers.
  217. Notsu, Kazuya; Sato, Nobuhiko, Semiconductor member manufacturing method and semiconductor device manufacturing method.
  218. Kauffmann Bruce A. (Jericho VT) Lam Chung H. (Williston VT) Lasky Jerome B. (Essex Junction VT), Semiconductor memory cell and memory array with inversion layer.
  219. Nakagawa Kiyokazu (Sayama JPX) Nishida Akio (Misato JPX) Shimada Toshikazu (Kokubunji JPX), Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same.
  220. Cheng, Zhi-Yuan; Fitzgerald, Eugene A.; Antoniadis, Dimitri A.; Hoyt, Judy L., Semiconductor substrate structure.
  221. Sakaguchi,Kiyofumi; Sato,Nobuhiko, Semiconductor substrate, semiconductor device, and method of manufacturing the same.
  222. Murthy, Anand S.; Doyle, Brian S.; Roberds, Brian E., Semiconductor transistor having a backfilled channel material.
  223. Murthy, Anand; Chau, Robert S.; Ghani, Tahir; Mistry, Kaizad R., Semiconductor transistor having a stressed channel.
  224. Yeo, Yee-Chia; Chen, How-Yu; Huang, Chien-Chao; Lee, Wen-Chin; Yang, Fu-Liang; Hu, Chenming, Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors.
  225. Chuang K. J.,TWX ; Lui H. S.,TWX, Separated floating gate for EEPROM application.
  226. Alexander Yuri Usenko ; William Ned Carr, Separation process for silicon-on-insulator wafer fabrication.
  227. Sugiura Yoshihisa,JPX ; Iwata Yoshihisa,JPX ; Watanabe Hiroshi,JPX, Shielded bit line sensing scheme for nonvolatile semiconductor memory.
  228. Schmitz Jurriaan,NLX ; Woerlee Pierre H.,NLX, Si-Ge CMOS semiconductor device.
  229. Imai Seiji,JPX ; Hiraoka Yoshiko,JPX ; Kurobe Atsushi,JPX ; Sugiyama Naoharu,JPX ; Tezuka Tsutomu,JPX, Si-SiGe semiconductor device and method of fabricating the same.
  230. Ismail Khalid EzzEldin ; Meyerson Bernard S., Si/SiGe vertical junction field effect transistor.
  231. Burghartz Joachim N. (Shrub Oak NY) Meyerson Bernard S. (Yorktown Heights NY) Sun Yuan-Chen (Katonah NY), SiGe thin film or SOI MOSFET and method for making the same.
  232. Soref Richard A. ; Friedman Lionel R., Silicon-based strain-symmetrized GE-SI quantum lasers.
  233. Huang Feng-Yi, Silicon-germanium bicmos on soi.
  234. Robinson McDonald ; Westhoff Richard C. ; Hunt Charles E. ; Ling Li, Silicon-germanium-carbon compositions in selective etch processes.
  235. Judy Xilin An ; Bin Yu, Silicon-on-insulator (SOI) transistor having partial hetero source/drain junctions fabricated with high energy germanium implantation.
  236. An, Judy Xilin; Yu, Bin, Silicon-on-insulator (SOI) transistor having partial hetero source/drain junctions fabricated with high energy germanium implantation..
  237. Maszara, Witold P., Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide.
  238. Ismail Khalid EzzEldin ; Meyerson Bernard S., Single-transistor logic and CMOS inverters.
  239. Srikrishnan Kris V., Smart-cut process for the production of thin semiconductor material films.
  240. Yeo,Yee Chia; Lin,Chun Chieh; Yang,Fu Liang; Liang,Mong Song; Hu,Chenming, Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement.
  241. Clark, William F.; Fried, David M.; Lanzerotti, Louis D.; Nowak, Edward J., Strained Fin FETs structure and method.
  242. Chu, Jack O.; Ismail, Khaled, Strained Si based layer made by UHV-CVD, and devices therein.
  243. Chu Jack Oon ; Ismail Khalid EzzEldin, Strained Si/SiGe layers on insulator.
  244. Chu Jack Oon ; Ismail Khalid EzzEldin, Strained Si/SiGe layers on insulator.
  245. Ge, Chung-Hu; Wang, Chao-Hsuing; Huang, Chien-Chao; Lee, Wen-Chin; Hu, Chenming, Strained channel on insulator device.
  246. Clark, William F.; Fried, David M.; Lanzerotti, Louis D.; Nowak, Edward J., Strained fin FETs structure and method.
  247. Clark, William F.; Fried, David M.; Lanzerotti, Louis D.; Nowak, Edward J., Strained fin FETs structure and method.
  248. Chidambarrao,Dureseti; Dokumaci,Omer H.; Gluschenkov,Oleg G., Strained finFETs and method of manufacture.
  249. Chan,Kevin K.; Ieong,Meikei; Reznicek,Alexander; Sadana,Devendra K.; Shi,Leathen; Yang,Min, Strained silicon CMOS on hybrid crystal orientations.
  250. Wang, Haihong; Xiang, Qi, Strained silicon MOSFET having silicon source/drain regions and method for its fabrication.
  251. Xiang, Qi; Paton, Eric N.; Wang, Haihong, Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication.
  252. Lee,Jong Jan; Hsu,Sheng Teng; Tweet,Douglas J.; Maa,Jer Shen, Strained silicon finFET device.
  253. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Strained-channel multiple-gate transistor.
  254. Belyansky, Michael P.; Chidambarrao, Dureseti; Dokumaci, Omer H.; Doris, Bruce B.; Gluschenkov, Oleg, Structure and method to improve channel mobility by gate electrode stress modification.
  255. Sakaguchi, Kiyofumi; Ohmi, Kazuaki; Yanagita, Kazutaka, Substrate and method of manufacturing the same.
  256. Ek Bruce A. (Pelham Manor NY) Iyer Subramanian S. (Yorktown Heights NY) Pitner Philip M. (Wappingers Falls NY) Powell Adrian R. (New Milford CT) Tejwani Manu J. (Yorktown Heights NY), Substrate for tensilely strained semiconductor.
  257. Takisawa, Toru; Yonehara, Takao; Yamagata, Kenji, Substrate processing apparatus, substrate support apparatus, substrate processing method, and substrate fabrication method.
  258. Hu, Chenming; Yeo, Yee-Chia, Suppression of MOSFET gate leakage current.
  259. Roberds, Brian; Doyle, Brian S., Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel.
  260. Doyle Brian S. ; Roberds Brian ; Lee Jin, Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering.
  261. Shaheen, Mohamad A.; Jin, Beenyih; Chau, Robert S., Thermally stable crystalline defect-free germanium bonded to silicon and silicon dioxide.
  262. Bertin Claude L. (South Burlington VT) DiMaria Donelli J. (Ossining NY) Miyakawa Makoto (Tokyo JPX) Sakaue Yoshinori (Tokyo JPX), Three-dimensional direct-write EEPROM arrays and fabrication methods.
  263. Murakami Eiichi (Fuchu JPX) Nakagawa Kiyokazu (Sayama JPX) Ohshima Takashi (Fuchu JPX) Eto Hiroyuki (Kokubunji JPX) Miyao Masanobu (Tokorozawa JPX), Transistor provided with strained germanium layer.
  264. Chau Robert S. ; Chern Chan-Hong ; Jan Chia-Hong ; Weldon Kevin R. ; Packan Paul A. ; Yau Leopoldo D., Transistor with ultra shallow tip and method of fabrication.
  265. Lin Chih-Hung,TWX ; Lee Robin,TWX, Trench flash memory with nitride spacers for electron trapping.
  266. Liao, Wen-Shiang; Shiau, Wei-Tsun, Triple gate device having strained-silicon channel.
  267. Mohammad S. Noor (Hopewell Junction NY), Triple heterojunction bipolar transistor.
  268. Ouyang,Qiqing Christine; Chu,Jack Oon, Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof.
  269. Ieong,Meikei; Yang,Min, Ultra-thin silicon-on-insulator and strained-silicon-direct-on-insulator with hybrid crystal orientations.
  270. Bartelink Dirk J., Vertical CMOS digital multi-valued restoring logic device.
  271. Augusto Carlos Jorge Ramiro Proenca,BEX, Vertical MISFET devices.
  272. Favors ; Jr. Wesley ; MacDonald Eric William ; Mukherjee Subir ; Warriner Lynn Albert, Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS.
  273. Fransis Bert L. ; O'Connor John Francis, Wideband, variable gain amplifier.

이 특허를 인용한 특허 (118)

  1. Renau, Anthony; Hatem, Christopher, Angled ion beam processing of heterogeneous structure.
  2. Peng, Cheng-Yi; Yang, Yu-Lin; Ho, Chia-Cheng; Chiu, Jung-Piao; Lee, Tsung-Lin; Yeh, Chih Chieh; Chang, Chih-Sheng; Yeo, Yee-Chia, Asymmetric source/drain depths.
  3. Peng, Cheng-Yi; Yang, Yu-Lin; Ho, Chia-Cheng; Chiu, Jung-Piao; Lee, Tsung-Lin; Yeh, Chih Chieh; Chang, Chih-Sheng; Yeo, Yee-Chia, Asymmetric source/drain depths.
  4. Doris, Bruce B.; He, Hong; Khakifirooz, Ali; Wang, Junli, CMOS structure on SSOI wafer.
  5. Doris, Bruce B.; He, Hong; Khakifirooz, Ali; Rubin, Joshua M., CMOS structures with selective tensile strained NFET fins and relaxed PFET fins.
  6. Obradovic, Borna J.; Kittl, Jorge A.; Rodder, Mark. S., Crystalline multiple-nanosheet III-V channel FETs.
  7. Obradovic, Borna J.; Bowen, Robert C.; Rodder, Mark S., Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same.
  8. Kelly, Andrew Joseph; Oniki, Yusuke, Doping of high-K dielectric oxide by wet chemical treatment.
  9. Cheng, Kangguo; Doris, Bruce B.; Hashemi, Pouya; Khakifirooz, Ali; Reznicek, Alexander, Dual channel material for finFET for high performance CMOS.
  10. Cheng, Kangguo; Doris, Bruce B.; Hashemi, Pouya; Khakifirooz, Ali; Reznicek, Alexander, Dual channel material for finFET for high performance CMOS.
  11. Cheng, Kangguo; Doris, Bruce B.; Hashemi, Pouya; Khakifirooz, Ali; Reznicek, Alexander, Dual channel material for finFET for high performance CMOS.
  12. Doris, Bruce B.; He, Hong; Khakifirooz, Ali; Wang, Junli, Dual isolation on SSOI wafer.
  13. Leobandung, Effendi, Dual material finFET on same substrate.
  14. Leobandung, Effendi, Dual material finFET on single substrate.
  15. Leobandung, Effendi, Dual material finFET on single substrate.
  16. Oxland, Richard Kenneth; Duriez, Blandine; Dal, Mark van; Holland, Martin Christopher, Elongated semiconductor structure planarization.
  17. Ching, Kuo-Cheng; Liu, Chi-Wen; Wang, Chih-Hao, FETS and methods of forming FETS.
  18. Wang, Chih-Hao; Tsai, Ching-Wei; Liu, Chi-Wen; Ching, Kuo-Cheng; Liaw, Jhon Jhy; Lien, Wai-Yi, FETS and methods of forming FETS.
  19. Ching, Kuo-Cheng; Liu, Chi-Wen; Wang, Chih-Hao, FETs and methods of forming FETs.
  20. Wang, Chih-Hao; Tsai, Ching-Wei; Liu, Chi-Wen; Ching, Kuo-Cheng; Liaw, Jhon Jhy; Lien, Wai-Yi, FETs and methods of forming FETs.
  21. Peng, Cheng-Yi; Yeh, Chih Chieh; Lee, Tsung-Lin, FINFET structures and methods of forming the same.
  22. Basu, Anirban; Cohen, Guy; Majumdar, Amlan, Fabrication process for mitigating external resistance and interface state density in a multigate device.
  23. Basu, Anirban; Cohen, Guy; Majumdar, Amlan, Fabrication process for mitigating external resistance of a multigate device.
  24. Basu, Anirban; Cohen, Guy; Majumdar, Amlan, Fabrication process for mitigating external resistance of a multigate device.
  25. Basu, Anirban; Cohen, Guy; Majumdar, Amlan, Fabrication process for mitigating external resistance of a multigate device.
  26. Bourjot, Emilie; Xie, Ruilong, Field effect transistor having an air-gap gate sidewall spacer and method.
  27. Chang, Che-Cheng; Lin, Chih-Han, Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same.
  28. Kuo, Chih-Wei; Chen, Hou-Yu; Yang, Shyh-Horng, Fin field effect transistor having a highly doped region.
  29. Chang, Che-Cheng; Lin, Chih-Han; Tseng, Horng-Huei, Fin structure and method of forming same through two-step etching processes.
  30. Cheng, Kangguo; Divakaruni, Ramachandra; Khakifirooz, Ali; Standaert, Theodorus E., FinFET and fin-passive devices.
  31. Cheng, Kangguo; Divakaruni, Ramachandra; Khakifirooz, Ali; Standaert, Theodorus E., FinFET and fin-passive devices.
  32. Yu, Chia-Ta; Wang, Sheng-Chen; Yang, Cheng-Yu; Lee, Kai-Hsuan; Yeong, Sai-Hooi; Yang, Feng-Cheng; Chen, Yen-Ming, FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same.
  33. Yu, Chia-Ta; Wang, Sheng-Chen; Yang, Cheng-Yu; Lee, Kai-Hsuan; Yeong, Sai-Hooi; Yang, Feng-Cheng; Chen, Yen-Ming, FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same.
  34. Ching, Kuo-Cheng; Liu, Chi-Wen, FinFET devices and methods of forming.
  35. Ching, Kuo-Cheng; Liu, Chi-Wen, FinFET devices and methods of forming.
  36. Ching, Kuo-Cheng; Liu, Chi-Wen, FinFET devices and methods of forming.
  37. Lee, Yi-Jing; Wu, Cheng-Hsien; Ko, Chih-Hsin; Wann, Clement Hsingjen, FinFET devices with unique fin shape and the fabrication thereof.
  38. Yeh, Chih Chieh; Peng, Cheng-Yi; Lee, Tsung-Lin, FinFET structures and methods of forming the same.
  39. Cheng, Kangguo; Doris, Bruce B.; Khakifirooz, Ali; Reznicek, Alexander, FinFET structures having silicon germanium and silicon fins.
  40. Cheng, Kangguo; Doris, Bruce B.; Khakifirooz, Ali; Reznicek, Alexander, FinFET structures having silicon germanium and silicon fins.
  41. Liu, Qing; Cai, Xiuyu; Xie, Ruilong; Yeh, Chun-chen, FinFETs having strained channels, and methods of fabricating finFETs having strained channels.
  42. Cheng, Kangguo; Hashemi, Pouya; Khakifirooz, Ali; Reznicek, Alexander, Forming a CMOS with dual strained channels.
  43. Cheng, Kangguo; Hashemi, Pouya; Khakifirooz, Ali; Reznicek, Alexander, Forming a CMOS with dual strained channels.
  44. Cheng, Kangguo; Hashemi, Pouya; Khakifirooz, Ali; Reznicek, Alexander, Forming a CMOS with dual strained channels.
  45. Cheng, Kangguo; Hashemi, Pouya; Khakifirooz, Ali; Reznicek, Alexander, Forming a CMOS with dual strained channels.
  46. Bi, Zhenxing; Cheng, Kangguo; Xu, Peng; Xu, Zheng, Forming strained and unstrained features on a substrate.
  47. Cheng, Kangguo; Doris, Bruce B.; Hashemi, Pouya; Khakifirooz, Ali; Reznicek, Alexander, Forming strained fins of different material on a substrate.
  48. Padmanabhan, Balaji; Parsey, Jr., John Michael; Salih, Ali; Venkatraman, Prasad, High electron mobility semiconductor device and method therefor.
  49. Padmanabhan, Balaji; Parsey, Jr., John Michael; Salih, Ali; Venkatraman, Prasad, High electron mobility semiconductor device and method therefor.
  50. Cheng, Kangguo; Khakifirooz, Ali; Reznicek, Alexander; Shahidi, Ghavam G., III-V FinFET CMOS with III-V and germanium-containing channel closely spaced.
  51. Cheng, Kangguo; Khakifirooz, Ali; Reznicek, Alexander; Shahidi, Ghavam G., III-V FinFET CMOS with III-V and germanium-containing channel closely spaced.
  52. Basu, Anirban; Cheng, Cheng-Wei; Majumdar, Amlan; Martin, Ryan M.; Rana, Uzma; Sadana, Devendra K.; Shiu, Kuen-Ting; Sun, Yanning, III-V finFETs on silicon substrate.
  53. Peng, Cheng-Yi; Yeh, Ling-Yen; Liu, Chi-Wen; Chang, Chih-Sheng; Yeo, Yee-Chia, Integrated circuit structure and method with solid phase diffusion.
  54. Peng, Cheng-Yi; Yeh, Ling-Yen; Liu, Chi-Wen; Chang, Chih-Sheng; Yeo, Yee-Chia, Integrated circuit structure and method with solid phase diffusion.
  55. Ching, Kuo-Cheng; Tsai, Ching-Wei; Wu, Chung-Cheng; Wang, Chih-Hao; Hsieh, Wen-Hsing; Leung, Ying-Keung, Integrated circuit structure with substrate isolation and un-doped channel.
  56. Flachowsky, Stefan; Hoentschel, Jan, Integrated circuits formed on strained substrates and including relaxed buffer layers and methods for the manufacture thereof.
  57. Doris, Bruce B.; He, Hong; Li, Juntao; Wang, Junli; Yang, Chih-Chao, Integrated formation of Si and SiGe fins.
  58. Doris, Bruce B.; He, Hong; Wang, Junli; Loubet, Nicolas J., Integration of strained silicon germanium PFET device and silicon NFET device for finFET structures.
  59. Cheng, Kangguo; Khakifirooz, Ali; Reznicek, Alexander; Schepis, Dominic J., Method and structure to form tensile strained SiGe fins and compressive strained SiGe fins on a same substrate.
  60. Duriez, Blandine; Holland, Martin Christopher, Method for forming FinFET devices.
  61. Lee, Tung Ying; Yu, Shao-Ming, Method for forming stacked nanowire transistors.
  62. Colinge, Jean-Pierre; Goto, Ken-Ichi; Wu, ZhiQiang, Method of fabricating epitaxial gate dielectrics and semiconductor device of the same.
  63. Colinge, Jean-Pierre; Diaz, Carlos H., Method of fabricating non-volatile memory device array.
  64. Ching, Kuo-Cheng; Leung, Ying-Keung, Method of forming a FinFET device.
  65. Huang, Yu-Lien, Method of forming a gate spacer.
  66. Yeo, Yee-Chia; Duriez, Blandine; Holland, Martin Christopher, Method of forming a nanowire.
  67. Peng, Cheng-Yi; Ho, Chia-Cheng; Yeh, Chih Chieh; Lee, Tsung-Lin; Yang, Yu-Lin, Method of forming semiconductor device with different threshold voltages.
  68. Cheng, Chao-Ching; Yeh, Chih Chieh; Wu, Cheng-Hsien; Chiang, Hung-Li; Chiu, Jung-Piao; Chen, Tzu-Chiang; Lee, Tsung-Lin; Yang, Yu-Lin; Chen, I-Sheng, Method of manufacturing a semiconductor device with multilayered channel structure.
  69. Leobandung, Effendi, Method to make dual material finFET on same substrate.
  70. Leobandung, Effendi, Method to make dual material finFET on same substrate.
  71. Maszara, Witold P.; Jacob, Ajey P.; LiCausi, Nicholas V.; Fronheiser, Jody A.; Akarvardar, Kerem, Methods of forming FinFET devices with alternative channel materials.
  72. Huang, Gin-Chen; Huang, Hui-Chi; Lu, Yung-Cheng, Methods of forming contact feature.
  73. Chen, I-Sheng; Yeh, Chih Chieh; Wu, Cheng-Hsien; Yeo, Yee-Chia, Multi-gate device and method of fabrication thereof.
  74. Chen, I-Sheng; Yeh, Chih Chieh; Wu, Cheng-Hsien; Yeo, Yee-Chia, Multi-gate device and method of fabrication thereof.
  75. Ching, Kuo-Cheng; Huang, Ching-Fang; Diaz, Carlos H.; Wang, Chih-Hao; Hsieh, Wen-Hsing; Leung, Ying-Keung, Multi-gate device and method of fabrication thereof.
  76. Ching, Kuo-Cheng; Tsai, Ching-Wei; Diaz, Carlos H; Wang, Chih-Hao; Lien, Wai-Yi; Leung, Ying-Keung, Multi-gate device and method of fabrication thereof.
  77. Ching, Kuo-Cheng; Tsai, Ching-Wei; Diaz, Carlos H; Wang, Chih-Hao; Lien, Wai-Yi; Leung, Ying-Keung, Multi-gate device and method of fabrication thereof.
  78. Doris, Bruce B.; Reznicek, Alexander; Rubin, Joshua M.; Yamashita, Tenko, Selective oxidation of buried silicon-germanium to form tensile strained silicon FinFETs.
  79. Cheng, Kangguo; Adam, Thomas N.; Khakifirooz, Ali; Reznicek, Alexander, Semiconductor alloy fin field effect transistor.
  80. Chen, Chao-Hsuing; Chen, Hou-Yu; Lin, Chie-Iuan; Chao, Yuan-Shun; Li, Kuo Lung, Semiconductor device and manufacturing method thereof.
  81. Chen, Chao-Hsuing; Chen, Hou-Yu; Lin, Chie-Iuan; Chao, Yuan-Shun; Li, Kuo Lung, Semiconductor device and manufacturing method thereof.
  82. Ching, Kuo-Cheng; Tsai, Ching-Wei; Wang, Chih-Hao; Lien, Wai-Yi, Semiconductor device and manufacturing method thereof.
  83. Ching, Kuo-Cheng; Wang, Chih-Hao; Tsai, Ching-Wei; Lien, Wai-Yi, Semiconductor device and manufacturing method thereof.
  84. Basker, Veeraraghavan S.; Khakifirooz, Ali; Kerber, Pranita; Reznicek, Alexander, Semiconductor device having SSOI substrate with relaxed tensile stress.
  85. Lo, Hung; Hsu, Chia-Jung; Tsai, Teng-Chun; Hsu, Tzu-Hsiang; Yang, Feng-Cheng; Chen, Ying-Ho, Semiconductor device including Fin FET and manufacturing method thereof.
  86. Tsai, Chun Hsiung; Wang, Tsan-Chun, Semiconductor device including Fin structures and manufacturing method thereof.
  87. Huang, Gin-Chen; Hsu, Tzu-Hsiang; Hsu, Chia-Jung; Yang, Feng-Cheng; Tsai, Teng-Chun, Semiconductor device including Fin- FET and manufacturing method thereof.
  88. Huang, Gin-Chen; Hsu, Tzu-Hsiang; Hsu, Chia-Jung; Yang, Feng-Cheng; Tsai, Teng-Chun, Semiconductor device including Fin-FET and manufacturing method thereof.
  89. Lo, Hung; Hsu, Tzu-Hsiang; Hsu, Chia-Jung; Yang, Feng-Cheng; Tsai, Teng-Chun; Chen, Ying-Ho, Semiconductor device including fin FET and manufacturing method thereof.
  90. Lee, Tung Ying; Okuno, Yasutoshi; Su, Chien-Chang; Huang, Wang-Chun, Semiconductor device including fin structure with two channel layers and manufacturing method thereof.
  91. Lee, Tung Ying; Su, Chien-Chang; Huang, Wang-Chun; Okuno, Yasutoshi, Semiconductor device including fin structure with two channel layers and manufacturing method thereof.
  92. Wang, Sheng-chen; Yeong, Sai-Hooi; Hsiao, Tsung-Chieh, Semiconductor device including fin structures and manufacturing method thereof.
  93. Wang, Sheng-chen; Yeong, Sai-Hooi; Hsiao, Tsung-Chieh, Semiconductor device including fin structures and manufacturing method thereof.
  94. Kim, Juyoun, Semiconductor devices having active regions at different levels.
  95. Ching, Kuo-Cheng; Ju, Shi-Ning; Wang, Chih-Hao; Leung, Ying-Keung, Semiconductor structure and method of manufacturing the same.
  96. Lee, Yi-Jing; Wu, Cheng-Hsien; Ko, Chih-Hsin; Wann, Clement Hsingjen, Semiconductor structure and the manufacturing method thereof.
  97. Colinge, Jean-Pierre; Diaz, Carlos H., Semiconductor structure including laterally disposed layers having different crystal orientations and method of fabricating the same.
  98. Wang, Chun-Chieh; Huang, Yi-Min; Yang, Huai-Tei; Chang, Shih-Chieh; Pan, Zheng-Yang, Semiconductor structure with dopants diffuse protection and method for forming the same.
  99. Peng, Cheng-Yi; Ho, Chia-Cheng; Chang, Chih-Sheng; Yeo, Yee-Chia; Yang, Yu-Lin, Short channel effect suppression.
  100. Peng, Cheng-Yi; Yang, Yu-Lin; Ho, Chia-Cheng; Chiang, Hung-Li; Lai, Wei-Jen; Chen, Tzu-Chiang; Lee, Tsung-Lin; Yeh, Chih Chieh; Chang, Chih-Sheng; Yeo, Yee-Chia, Short channel effect suppression.
  101. Cheng, Kangguo; Doris, Bruce B.; Khakifirooz, Ali; Sadana, Devendra K., Silicon-on-insulator substrates having selectively formed strained and relaxed device regions.
  102. Huang, Yu-Lien; Lee, Tung Ying; Chen, Winnie, Source/drain structure and manufacturing the same.
  103. Huang, Yu-Lien; Lee, Tung Ying; Chen, Winnie, Source/drain structure and manufacturing the same.
  104. More, Shahaji B.; Pan, Zheng-Yang; Lee, Tsung-Lin; Chang, Shih-Chieh, Structure and formation method of semiconductor device with channel layer.
  105. Bedell, Stephen W.; Edge, Lisa F.; Kerber, Pranita; Ouyang, Qiqing C.; Reznicek, Alexander, Structure and method for highly strained germanium channel fins for high mobility pFINFETs.
  106. Wang, Kuan-Cheng; Lin, Chien-Feng; Pan, Jeng-Yang; Lin, Keng-Chu, Surface passivation for germanium-based semiconductor structure.
  107. Ching, Kuo-Cheng; Ju, Shi Ning; Wang, Chih-Hao; Leung, Ying-Keung; Diaz, Carlos H., System and method for widening Fin widths for small pitch FinFET devices.
  108. Lee, Tzung-Chi; Hsieh, Tung-Heng; Young, Bao-Ru; Chang, Yung Feng, System and method of fabricating ESD FinFET with improved metal landing in the drain.
  109. Cheng, Kangguo; Miao, Xin; Xu, Wenyu; Zhang, Chen, Tensile and compressive fins for vertical field effect transistors.
  110. Balakrishnan, Karthik; Fogel, Keith E.; Hashemi, Pouya; Reznicek, Alexander, Tensile strained NFET and compressively strained PFET formed on strain relaxed buffer.
  111. Balakrishnan, Karthik; Fogel, Keith E.; Hashemi, Pouya; Reznicek, Alexander, Tensile strained nFET and compressively strained pFET formed on strain relaxed buffer.
  112. Balakrishnan, Karthik; Fogel, Keith E.; Hashemi, Pouya; Reznicek, Alexander, Tensile strained nFET and compressively strained pFET formed on strain relaxed buffer.
  113. Obradovic, Borna; Bowen, Robert C.; Palle, Dharmendar Reddy; Rodder, Mark S., Thermionically-overdriven tunnel FETs and methods of fabricating the same.
  114. Chou, Chen Cheng; Sun, Chung-Ren; Wu, Chii-Ming; Wu, Cheng-Ta; Lin, Tzu kai, Tilt implantation for STI formation in FinFET structures.
  115. Chang, Hung-Chih; Chen, Pin-Shiang; Liu, Chee-Wee; Pan, Samuel C., Transistor with wurtzite channel.
  116. Chang, Hung-Chih; Chen, Pin-Shiang; Liu, Chee-Wee; Pan, Samuel C., Transistor with wurtzite channel.
  117. Li, Chii-Horng; Chen, Chih-Shan; Tai, Roger; Lin, Yih-Ann; Lee, Yen-Ru; Lin, Tzu-Ching, V-shape recess profile for embedded source/drain epitaxy.
  118. Sarkozy, Stephen J.; Chen, Yaochung; Lai, Richard, Wrap around gate field effect transistor (WAGFET).

문의처: helpdesk@kisti.re.kr전화: 080-969-4114

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로