$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Apparatus having a lanthanum-metal oxide semiconductor device 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-029/792
출원번호 US-0915578 (2010-10-29)
등록번호 US-8237216 (2012-08-07)
발명자 / 주소
  • Ahn, Kie Y.
  • Forbes, Leonard
출원인 / 주소
  • Micron Technology, Inc.
대리인 / 주소
    Schwegman, Lundberg & Woessner, P.A.
인용정보 피인용 횟수 : 2  인용 특허 : 364

초록

Lanthanum-metal oxide dielectrics and methods of fabricating such dielectrics provide an insulating layer in a variety of structures for use in a wide range of electronic devices and systems. In an embodiment, a lanthanum-metal oxide dielectric is formed using a trisethylcyclopentadionatolanthanum p

대표청구항

1. An apparatus comprising: a substrate; anda dielectric material disposed above the substrate, the dielectric material containing a region of lanthanum-metal oxide, the lanthanum-metal oxide having an oxide composition without nitrogen, the metal of the lanthanum-metal oxide being a metal other tha

이 특허에 인용된 특허 (364)

  1. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., 4 F2 folded bit line DRAM cell structure having buried bit and word lines.
  2. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, 4 F2 folded bit line dram cell structure having buried bit and word lines.
  3. Ahn,Kie Y.; Forbes,Leonard, ALD of amorphous lanthanide doped TiOfilms.
  4. Ahn,Kie Y.; Forbes,Leonard, ALD of amorphous lanthanide doped TiOfilms.
  5. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices.
  6. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices using textured surface.
  7. Vaartstra, Brian A., Aluminum-containing material and atomic layer deposition methods.
  8. Ma Yanjun ; Ono Yoshi, Aluminum-doped zirconium dielectric film transistor structure and deposition method for same.
  9. Matijasevic, Vladimir; Kaplan, Todd, Apparatus and method for deposition of thin films.
  10. Sneh, Ofer; Seidel, Thomas E.; Galewski, Carl, Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition.
  11. Sugimoto Kenji (Kyoto JPX), Apparatus for treating the surfaces of wafers.
  12. Bhattacharyya, Arup, Asymmetric band-gap engineered nonvolatile memory device.
  13. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed.
  14. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited Zr-Sn-Ti-O films.
  15. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited Zr-Sn-Ti-O films using TiI.
  16. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited Zr-Sn-Ti-O films using TiI4.
  17. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrAlOdielectric layers including ZrAlO.
  18. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrTiOfilms.
  19. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited barium strontium titanium oxide films.
  20. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  21. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited hafnium tantalum oxide dielectrics.
  22. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited lanthanide doped TiOx dielectric films.
  23. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited nanolaminates of HfO/ZrOfilms as gate dielectrics.
  24. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics.
  25. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited titanium silicon oxide films.
  26. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited zirconium silicon oxide films.
  27. Derderian, Garo J.; Sandhu, Gurtej Singh, Atomic layer deposition and conversion.
  28. Derderian, Garo J.; Sandhu, Gurtej Singh, Atomic layer deposition and conversion.
  29. Marsh, Eugene; Vaartstra, Brian; Castrovillo, Paul J.; Basceri, Cem; Derderian, Garo J.; Sandhu, Gurtej S., Atomic layer deposition methods.
  30. Vaartstra,Brian A., Atomic layer deposition methods.
  31. Vaartstra,Brian A., Atomic layer deposition methods and chemical vapor deposition methods.
  32. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposition of CeO/AlOfilms as gate dielectrics.
  33. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of CeO/AlOfilms as gate dielectrics.
  34. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of Dy doped HfOfilms as gate dielectrics.
  35. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of ZrN/ZrOfilms as gate dielectrics.
  36. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer.
  37. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of metal oxide and/or low assymmetrical tunnel barrier interpoly insulators.
  38. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators.
  39. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  40. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  41. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  42. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  43. Guenzer Charles S. (3852 Grove Ave. Palo Alto CA 94303), Bismuth titanate as a template layer for growth of crystallographically oriented silicon.
  44. Maiti Bikas ; Tobin Philip J. ; Mogab C. Joseph ; Hobbs Christopher ; Frisa Larry E.,DEX, CMOS semiconductor devices and method of formation.
  45. Sang-don Nam KR; Jin-won Kim KR, Capacitor of semiconductor device.
  46. Ahn, Kie Y.; Forbes, Leonard, Capacitor structure forming methods.
  47. Lee,Kee Jeung; Kwon,Hong, Capacitor with aluminum oxide and lanthanum oxide containing dielectric structure and fabrication method thereof.
  48. Lin Wen-Yi ; Speyer Robert F. ; Shrout Tom R. ; Hackenberger Wesley S., Ceramic compositions for microwave wireless communication.
  49. Sandhu Gurtej S. ; Fazan Pierre, Chemical vapor deposition using organometallic precursors.
  50. Noble, Wendell P.; Forbes, Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  51. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  52. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  53. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  54. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  55. Forbes Leonard ; Geusic Joseph E. ; Ahn Kie Y., Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same.
  56. Barber, James R., Coil spring assembly.
  57. Ahn, Kie Y.; Forbes, Leonard, Composite dielectric forming methods and composite dielectrics.
  58. Tauber Arthur ; Wilber William D. ; Tidrow Steven C. ; Finnegan Robert D. ; Eckart Donald W., Compound in the series A.sub.2 MeSbO.sub.6 for use as substrates barrier-dielectric layers and passivating layers in h.
  59. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  60. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  61. Bunshah Rointan F. (Playa del Rey CA) Deshpandey Chandra V. (Los Angeles CA) Doerr Hans J. (Westlake Village CA) Yoon Jong S. (Northridge CA), Controlled high rate deposition of metal oxide films.
  62. Ahn, Kie Y.; Forbes, Leonard, Copper technology for ULSI metallization.
  63. Ahn,Kie Y.; Forbes,Leonard, Crystalline or amorphous medium-K gate oxides, Y0and Gd0.
  64. Forbes, Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  65. Yoshihide Senzaki ; Arthur Kenneth Hochberg ; David Allen Roberts ; John Anthony Thomas Norman ; Glenn Baldwin Alers ; Robert McLemore Fleming, Deposition and annealing of multicomponent ZrSnTi and HfSnTi oxide thin films using solventless liquid mixture of precursors.
  66. Vaartstra,Brian A.; Westmoreland,Donald; Marsh,Eugene P.; Uhlenbrock,Stefan, Deposition methods using heteroleptic precursors.
  67. Marsh,Eugene; Vaartstra,Brian; Castrovillo,Paul J.; Basceri,Cem; Derderian,Garo J.; Sandhu,Gurtej S., Deposition methods with time spaced and time abutting precursor pulses.
  68. Cabral, Jr.,Cyril; Callegari,Alessandro C.; Gribelyuk,Michael A.; Jamison,Paul C.; Lacey,Dianne L.; McFeely,Fenton R.; Narayanan,Vijay; Neumayer,Deborah A.; Ranade,Pushkar; Zafar,Sufi, Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures.
  69. Shinriki, Hiroshi; Homma, Koji, Device and method for processing substrate.
  70. Uhlenbrock, Stefan; Marsh, Eugene P., Devices containing platinum-rhodium layers and methods.
  71. Ahn,Kie Y.; Forbes,Leonard, Devices with HfSiON dielectric films which are Hf-O rich.
  72. Lee, Jongho; Lee, Nae-In, Dielectric layer for semiconductor device and method of manufacturing the same.
  73. Ahn, Kie Y.; Forbes, Leonard, Dielectric stack containing lanthanum and hafnium.
  74. Marsh Eugene P., Diffusion barrier layers and methods of forming same.
  75. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  76. Ma Yanjun ; Ono Yoshi, Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same.
  77. Nakamura Masayuki (Akishima JPX) Kawahara Takayuki (Hachiouji JPX) Kajigaya Kazuhiko (Iruma JPX) Oshima Kazuyoshi (Ohme JPX) Takahashi Tsugio (Ohme JPX) Otori Hiroshi (Ohme JPX) Matsumoto Tetsuro (Hi, Dynamic RAM and information processing system using the same.
  78. Fally Jacques,FRX, Dynamic distance and position sensor and method of measuring the distance and the position of a surface using a sensor.
  79. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  80. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  81. Kashihara Keiichiro (Hyogo JPX) Okudaira Tomonori (Hyogo JPX) Itoh Hiromi (Hyogo JPX), Electronic device using zirconate titanate and barium titanate ferroelectrics in insulating layer.
  82. Bojarczuk, Jr., Nestor A.; Cartier, Eduard A.; Guha, Supratik, Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique.
  83. Matthew S. Buynoski ; Paul R. Besser ; Paul L. King ; Eric N. Paton ; Qi Xiang, Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  84. Ahn, Kiey Y.; Forbes, Leonard, Evaporated LaA1O3 films for gate dielectrics.
  85. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-K dielectrics.
  86. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-k dielectrics.
  87. Detering Brent A. ; Donaldson Alan D. ; Fincke James R. ; Kong Peter C., Fast quench reactor and method.
  88. Ahn, Kie Y.; Forbes, Leonard, Field emission display having porous silicon dioxide layer.
  89. Ahn, Kie Y.; Forbes, Leonard, Field emission display having reduced power requirements and method.
  90. Noble, Wendell P.; Forbes, Leonard, Field programmable logic arrays with vertical transistors.
  91. Wendell P. Noble ; Leonard Forbes, Field programmable logic arrays with vertical transistors.
  92. Lee Peter W. ; Tsao Hsing-Ya,TWX ; Hsu Fu-Chang,TWX, Flash memory read/write controller.
  93. Forbes,Leonard; Eldridge,Jerome M., Flash memory with low tunnel barrier interpoly insulators.
  94. Ahn, Kie Y.; Forbes, Leonard, Formation of metal oxide gate dielectric.
  95. Kie Y. Ahn ; Leonard Forbes, Formation of metal oxide gate dielectric.
  96. Andrew T. Hunt ; Wen-Yi Lin ; Tzyy Jiuan Hwang ; Michelle Hendrick ; Helmut G. Hornis, Formation of thin film capacitors.
  97. Hunt Andrew T. ; Hwang Tzyy Jiuan ; Hornis Helmut G. ; Lin Wen-Yi, Formation of thin film capacitors.
  98. Hunt, Andrew T.; Hwang, Tzyy Jiuan; Hornis, Helmut G.; Lin, Wen-Yi, Formation of thin film capacitors.
  99. Hunt Andrew T. ; Flanagan John S. ; Neuman George A., Formation of this film capacitors.
  100. Deacon Thomas E. ; Cheung David ; Lee Peter Wai-Man ; Huang Judy H., Gas distribution for CVD systems.
  101. Ni Tuqiang ; Demos Alex, Gas injection system for plasma processing.
  102. Ahn, Kie Y.; Forbes, Leonard, Gate oxides, and methods of forming.
  103. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Graded composition metal oxide tunnel barrier interpoly insulators.
  104. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Graded composition metal oxide tunnel barrier interpoly insulators.
  105. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Hafnium lanthanide oxynitride films.
  106. Wilk, Glen D.; Wallace, Robert M., Hafnium nitride gate dielectric.
  107. Ahn, Kie Y.; Forbes, Leonard, Hafnium tantalum oxide dielectrics.
  108. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Hafnium tantalum oxynitride high-k dielectric and metal gates.
  109. Ahn, Kie Y.; Forbes, Leonard, Hafnium titanium oxide films.
  110. Paul M. Whitcher ; Robert P. Wierzbicki ; Maurice Valois ; David R. Cultice, Handheld computer system.
  111. Ahn, Kie Y.; Forbes, Leonard, HfAlOfilms for gate dielectrics.
  112. Kaushik, Vidya S.; Nguyen, Bich-yen; Pietambaram, Srinivas V.; Schaeffer, III, James Kenyon, High K dielectric film.
  113. Minghwei Hong ; Ahmet Refik Kortan ; Jueinai Raynien Kwo ; Joseph Petrus Mannaerts, High dielectric constant gate oxides for silicon-based devices.
  114. Ahn, Kie Y.; Forbes, Leonard, High-quality praseodymium gate dielectrics.
  115. Ahn,Kie Y.; Forbes,Leonard, Highly reliable amorphous high-k gate dielectric ZrON.
  116. Ahn, Kie Y.; Forbes, Leonard, Highly reliable amorphous high-k gate dielectric ZrOXNY.
  117. Ahn,Kie Y.; Forbes,Leonard, Highly reliable amorphous high-k gate oxide ZrO2.
  118. Ahn, Kie Y.; Forbes, Leonard, Highly reliable gate oxide and method of fabrication.
  119. Lee Seaung Suk,KRX ; Kim Ho Gi,KRX ; Kim Jong Choul,KRX ; Choi Soo Han,KRX, Hot-wall CVD method for forming a ferroelectric film.
  120. Yoon, Dong-Soo, Hydrogen barrier layer and method for fabricating semiconductor device having the same.
  121. Forbes Leonard ; Geusic Joseph E., Information handling system having improved floating gate tunneling devices.
  122. Sarigiannis, Demetrius; Meng, Shuang; Derderian, Garo J., Insitu post atomic layer deposition destruction of active species.
  123. Moise Theodore S. ; Xing Guoqiang ; Visokay Mark ; Gaynor Justin F. ; Gilbert Stephen R. ; Celii Francis ; Summerfelt Scott R. ; Colombo Luigi, Integrated circuit and method.
  124. Tsu Robert ; Asano Isamu,JPX ; Iijima Shinpei,JPX ; McKee William R., Integrated circuit capacitor.
  125. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Integrated circuit memory device and method.
  126. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same.
  127. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  128. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  129. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  130. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  131. Ahn, Kie Y.; Forbes, Leonard, Integrated decoupling capacitors.
  132. Arne W. Ballantine ; Douglas A. Buchanan ; Eduard A. Cartier ; Kevin K. Chan ; Matthew W. Copel ; Christopher P. D'Emic ; Evgeni P. Gousev ; Fenton Read McFeely ; Joseph S. Newbury ; Harald , Interfacial oxidation process for high-k gate dielectric process integration.
  133. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  134. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  135. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films by plasma oxidation.
  136. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films.
  137. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films by plasma oxidation.
  138. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOx dielectric films by plasma oxidation.
  139. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  140. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  141. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectrics.
  142. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide dielectric layer.
  143. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide/hafnium oxide dielectrics.
  144. Ahn,Kie; Forbes,Leonard, Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics.
  145. Ahn, Kie Y.; Forbes, Leonard, Lanthanide yttrium aluminum oxide dielectric films.
  146. Glassman Timothy E. (Danbury CT) Chayka Paul V. (New Milford CT), Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films.
  147. Ahn, Kie Y.; Forbes, Leonard, Lanthanum aluminum oxynitride dielectric films.
  148. Ahn,Kie Y.; Forbes,Leonard, Lanthanum aluminum oxynitride dielectric films.
  149. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  150. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  151. Maria, Jon-Paul; Kingon, Angus Ian, Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors.
  152. Frankel Jonathan ; Shmurun Inna ; Sivaramakrishnan Visweswaren ; Fukshansky Eugene, Lid assembly for high temperature processing chamber.
  153. Bin Yu, Low temperature process to locally form high-k gate dielectrics.
  154. Joseph E. Geusic, Low temperature silicon wafer bond process with bulk material bond strength.
  155. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics.
  156. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics.
  157. Ahn,Kie Y.; Forbes,Leonard, Low-temperature growth high-quality ultra-thin praseodymium gate dieletrics.
  158. Hsu, Sheng Teng; Zhang, Fengyan; Li, Tingkai, MFOS memory transistor & method of fabricating same.
  159. Visokay,Mark; Colombo,Luigi, MOS transistor gates with doped silicide and methods for making the same.
  160. Yu, Bin; Xiang, Qi, MOSFET device having high-K dielectric layer.
  161. Yu, Bin; Paton, Eric N., MOSFET having a double gate.
  162. Yu, Bin; Xiang, Qi; Karlsson, Olov; Wang, HaiHong; Krivokapic, Zoran, MOSFETs with differing gate dielectrics and method of formation.
  163. Ahn,Kie Y.; Forbes,Leonard, Magnesium-doped zinc oxide structures and methods.
  164. Forbes Leonard ; Noble Wendell P., Memory address decode array with vertical transistors.
  165. Leonard Forbes ; Wendell P. Noble, Memory address decode array with vertical transistors.
  166. Evans ; Jr. Joseph T. (Albuquerque NM) Bullington Jeff A. (Albuquerque NM), Memory cell based on ferro-electric non volatile variable resistive element.
  167. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., Memory cell having a vertical transistor with buried source/drain and dual gates.
  168. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, Memory cell having a vertical transistor with buried source/drain and dual gates.
  169. Forbes Leonard ; Noble Wendell P. ; Ahn Kie Y., Memory cell with vertical transistor and buried word and body lines.
  170. Leonard Forbes ; Wendell P. Noble ; Kie Y. Ahn, Memory cell with vertical transistor and buried word and body lines.
  171. Poplingher Mircea ; Chen Wenliang ; Suryanarayanan Ganesh ; Chen Wayne W. ; Lo Roger Y., Memory device for a microprocessor register file having a power management scheme and method for copying information between memory sub-cells in a single clock cycle.
  172. Aronowitz,Sheldon; Zubkov,Vladimir; Sun,Grace S., Memory device having an electron trapping layer in a high-K dielectric gate stack.
  173. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide nanolaminates.
  174. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide nanolaminates.
  175. Forbes, Leonard; Ahn, Kie Y., Memory utilizing oxide-conductor nanolaminates.
  176. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide-conductor nanolaminates.
  177. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide-nitride nanolaminates.
  178. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide-nitride nanolaminates.
  179. Kirlin Peter S. ; Brown Duncan W. ; Baum Thomas H. ; Vaarstra Brian A. ; Gardiner Robin A., Metal complex source reagents for chemical vapor deposition.
  180. Brian A. Vaartstra, Metal complexes with chelating O-and/or N-donor ligands.
  181. Sam Yang ; Vishnu K. Agarwal, Metal oxynitride capacitor barrier layer.
  182. Forbes,Leonard; Farrar,Paul A.; Ahn,Kie Y., Metal-substituted transistor gates.
  183. Ahn, Kie Y.; Forbes, Leonard, Method and apparatus for the fabrication of ferroelectric films.
  184. Kie Y. Ahn ; Leonard Forbes, Method and apparatus for the fabrication of ferroelectric films.
  185. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  186. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  187. Gardner Mark I. ; Nistler John L. ; May Charles E., Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices.
  188. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method for depositing a nanolaminate film by atomic layer deposition.
  189. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  190. Eugene P. Marsh, Method for fabricating an SrRuO3 film.
  191. Kim, Younsoo, Method for fabricating metal electrode with atomic layer deposition (ALD) in semiconductor device.
  192. Tarui Yasuo (No. 6-4 ; Minamisawa 5-chome Higashikurume City ; Tokyo JPX) Soutome Yoshihiro (Osaka JPX) Morita Shinichi (Yokosuka JPX) Tanimoto Satoshi (Tokyo JPX), Method for ferroelectric thin film production.
  193. Choi, Sung-Je, Method for forming a dielectric layer of a semiconductor device.
  194. Gilmer, David C.; Hobbs, Christopher C.; Tseng, Hsing-Huang, Method for forming a dual gate oxide device using a metal oxide and resulting device.
  195. Geusic Joseph E. ; Forbes Leonard ; Ahn Kie Y., Method for forming high capacitance memory cells.
  196. Maiti Bikas ; Tobin Philip J. ; Hegde Rama I. ; Cuellar Jesus, Method for forming high dielectric constant metal oxides.
  197. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method for forming integrated circuits using high aspect ratio vias through a semiconductor wafer.
  198. Choi, Eun-Seok, Method for forming metal films.
  199. Yun-sook Chae KR; Sang-bom Kang KR; Gil-heyun Choi KR; In-sang Jeon KR, Method for forming metal layer of semiconductor device using metal halide gas.
  200. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  201. Vaartstra Brian A., Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands.
  202. Yano Yoshihiko,JPX ; Noguchi Takao,JPX ; Nagano Katsuto,JPX, Method for forming oxide thin film and the treatment of silicon substrate.
  203. Cho, Ho Jin, Method for forming polyatomic layers.
  204. Vaartstra, Brian A., Method for forming refractory metal oxide layers with tetramethyldisiloxane.
  205. Ahn, Kie Y.; Forbes, Leonard, Method for forming single electron resistor memory.
  206. Ritala, Mikko; Rahtu, Antti; Leskela, Markku; Kukli, Kaupo, Method for growing thin oxide films.
  207. Ruff, Alexander; Kegel, Wilhelm; Karcher, Wolfram; Schrems, Martin, Method for increasing the capacitance in a storage trench.
  208. Ahn, Kie Y.; Forbes, Leonard, Method for making a ferroelectric memory transistor.
  209. Christopher C. Hobbs ; Baohong Cheng ; Lurae G. Dip, Method for making semiconductor device.
  210. Tatsuro Maeda JP, Method for manufacturing self-matching transistor.
  211. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  212. Soininen Erkki (Espoo FIX) Leppnen Marja (Espoo FIX), Method for preparing a multilayer structure for electroluminescent components.
  213. Stecher Matthias,AUX ; Gutheit Tim,DEX ; Schwetlick Werner,DEX, Method for producing bridged doped zones.
  214. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  215. Eugene P. Marsh, Method for producing low carbon/oxygen conductive layers.
  216. Eugene P. Marsh, Method for producing low carbon/oxygen conductive layers.
  217. Ahn,Kie Y.; Forbes,Leonard, Method including forming gate dielectrics having multiple lanthanide oxide layers.
  218. Brian A. Vaartstra, Method of depositing films by using carboxylate complexes.
  219. Vaartstra Brian A., Method of depositing films by using carboxylate complexes.
  220. Vaartstra Brian A., Method of depositing films on semiconductor devices by using carboxylate complexes.
  221. Fernando Gonzalez ; Roger Lee, Method of fabricating a dual gate dielectric.
  222. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating a highly reliable gate oxide.
  223. Leonard Forbes ; Kie Y. Ahn, Method of fabricating a semiconductor-on-insulator memory cell with buried word and body lines.
  224. Marsh, Eugene P., Method of fabricating an SrRuO3 film.
  225. Krivokapic, Zoran, Method of fabricating an ultra-thin fully depleted SOI device with T-shaped gate.
  226. Vaartstra, Brian A.; Doan, Trung Tri, Method of forming a Ta2O5 comprising layer.
  227. Ma Yanjun ; Ono Yoshi, Method of forming a doped metal oxide dielectric film.
  228. Forbes, Leonard; Ahn, Kie Y., Method of forming a weak ferroelectric transistor.
  229. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method of forming an optical fiber interconnect through a semiconductor wafer.
  230. Ahn, Kie Y.; Forbes, Leonard, Method of forming apparatus having oxide films formed using atomic layer deposition.
  231. Wu Shye-Lin,TWX, Method of forming high density flash memories with MIM structure.
  232. Gardiner Robin A. ; Kirlin Peter S. ; Baum Thomas H. ; Gordon Douglas ; Glassman Timothy E. ; Pombrik Sofia ; Vaartstra Brian A., Method of forming metal films on a substrate by chemical vapor deposition.
  233. Vaartstra,Brian A., Method of forming trench isolation in the fabrication of integrated circuitry.
  234. Pekka J. Soininen FI; Kai-Erik Elers FI; Suvi Haukka FI, Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH.
  235. Ahn, Kie Y.; Forbes, Leonard, Method of making a chip packaging device having an interposer.
  236. Ahn, Kie Y.; Forbes, Leonard, Method of manufacturing a single electron resistor memory device.
  237. Arima Hideaki (Hyogo JPX), Method of manufacturing semiconductor memory device.
  238. Elers, Kai-Erik, Method of modifying source chemicals in an ald process.
  239. Yamagata, Kenji, Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device.
  240. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method to deposit a stacked high-κ gate dielectric for CMOS applications.
  241. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  242. Ellie Yieh ; Li-Qun Xia ; Srinivas Nemani, Methods and apparatus for shallow trench isolation.
  243. Ahn, Kie Y.; Forbes, Leonard, Methods for atomic-layer deposition.
  244. Ahn,Kie Y.; Forbes,Leonard, Methods for atomic-layer deposition of aluminum oxides in integrated circuits.
  245. Ahn,Kie Y.; Forbes,Leonard, Methods for forming a lanthanum-metal oxide dielectric layer.
  246. Agarwal, Vishnu K.; Derderian, Garo; Sandhu, Gurtej S.; Li, Weimin M.; Visokay, Mark; Basceri, Cem; Yang, Sam, Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers.
  247. Basceri, Cem; Sandhu, Gurtej, Methods for forming conductive structures and structures regarding same.
  248. Vaartstra Brian A., Methods for forming conformal iridium layers on substrates.
  249. Ahn, Kie Y.; Forbes, Leonard, Methods for forming dielectric materials and methods for forming semiconductor devices.
  250. Brian A. Vaartstra, Methods for forming iridium and platinum containing films on substrates.
  251. Alessandro Cesare Callegari ; Fuad Elias Doany ; Evgeni Petrovich Gousev ; Theodore Harold Zabel, Methods for forming metal oxide layers with enhanced purity.
  252. Haukka, Suvi P.; Tuominen, Marko, Methods for making a dielectric stack in an integrated circuit.
  253. Vaartstra,Brian A., Methods of forming a phosphorous doped silicon dioxide comprising layer.
  254. Eldridge, Jerome M., Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure.
  255. Ahn, Kie Y.; Forbes, Leonard, Methods of forming zirconium aluminum oxide.
  256. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  257. Liang Gi Yao TW; Ming Fang Wang TW; Shih Chang Chen TW; Mong Song Liang TW, Methods to create high-k dielectric gate electrodes with backside cleaning.
  258. Ahn, Kie Y., Methods, systems, and apparatus for uniform chemical-vapor depositions.
  259. Ahn,Kie Y., Methods, systems, and apparatus for uniform chemical-vapor depositions.
  260. Ahn, Kie Y.; Forbes, Leonard, Molybdenum-doped indium oxide structures and methods.
  261. Wenzel James F. ; DeHaven Robert K. ; Marietta Bryan D. ; Johnston James P., Multi-chip semiconductor device and method for making the device by using multiple flip chip interfaces.
  262. Senzaki, Yoshihide, Multilayer high κ dielectric films.
  263. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film.
  264. Forbes, Leonard, Multilevel semiconductor-on-insulator structures and circuits.
  265. Forbes, Leonard, Nanocrystal write once read only memory for archival storage.
  266. Arvind Halliyal ; Robert Bertram Ogle, Jr. ; Joong S. Jeon ; Fred Cheung ; Effiong Ibok, Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material.
  267. Chae,Soo doo; Kim,Chung woo; Lee,Jung hyun; Kim,Moon kyung; Hwang,Hyun sang, Nonvolatile semiconductor memory device having a gate stack and method of manufacturing the same.
  268. Arami Junichi,JPX ; Ishikawa Kenji,JPX ; Kitamura Masayuki,JPX, One-by-one type heat-processing apparatus.
  269. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Oxide thin film, electronic device substrate and electronic device.
  270. Tompa Gary Steven, Plasma enhanced chemical vapor deposition system.
  271. Cain John L. (Schertz TX) Relue Michael P. (San Antonio TX) Costabile Michael E. (San Antonio TX) Marsh William P. (San Antonio TX), Plasma processing apparatus.
  272. Nakahigashi Takahiro (Kyoto JPX) Murakami Hiroshi (Kyoto JPX) Otani Satoshi (Osaka JPX) Tabata Takao (Kyoto JPX) Maeda Hiroshi (Kyoto JPX) Kirimura Hiroya (Kyoto JPX) Kuwahara Hajime (Kyoto JPX), Plasma-CVD method and apparatus.
  273. Ahn, Kie Y.; Forbes, Leonard, Porous silicon oxycarbide integrated circuit insulator.
  274. Kie Y. Ahn ; Leonard Forbes, Porous silicon oxycarbide integrated circuit insulator.
  275. Bruley, John; Cabral, Jr., Cyril; Lavoie, Christian; Wagner, Tina J.; Wang, Yun Yu; Wildman, Horati S.; Hon, Wong Kwong, Pre-anneal of CoSi, to prevent formation of amorphous layer between Ti-O-N and CoSi.
  276. Vaartstra Brian A., Precursor mixtures for use in preparing layers on substrates.
  277. Jeon, Joong, Preparation of composite high-K / standard-K dielectrics for semiconductor devices.
  278. Jeon, Joong, Preparation of composite high-K dielectrics.
  279. Halliyal, Arvind; Jeon, Joong S.; Ngo, Minh Van; Ogle, Robert B., Preparation of composite high-K/standard-K dielectrics for semiconductor devices.
  280. Wang, Ming-Fang; Chen, Chien-Hao; Yao, Liang-Gi; Chen, Shih-Chang, Process for integration of a high dielectric constant gate insulator layer in a CMOS device.
  281. Senzaki, Yoshihide; Hochberg, Arthur Kenneth; Norman, John Anthony Thomas, Process for metal metalloid oxides and nitrides with compositional gradients.
  282. Yoshihiko Yano JP; Takao Noguchi JP, Process for preparing ferroelectric thin films.
  283. Putkonen, Matti, Process for producing oxide thin films.
  284. Wilk, Glen David; Ye, Peide, Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate.
  285. Kamikawa Yuuji (Uto JPX) Matsumura Kimiharu (Kumamoto JPX) Nomura Masafumi (Kumamoto JPX) Nagata Junichi (Kumamoto JPX), Processing apparatus with a gas distributor having back and forth parallel movement relative to a workpiece support surf.
  286. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Programmable array logic or memory devices with asymmetrical tunnel barriers.
  287. Noble, Wendell P.; Forbes, Leonard, Programmable logic array with vertical transistors.
  288. Wendell P. Noble ; Leonard Forbes, Programmable logic array with vertical transistors.
  289. Forbes, Leonard; Noble, Wendell P., Programmable memory address decode array with vertical transistors.
  290. Yang Barry Lee-Mean ; Gasworth Steven Marc, Protective coating by high rate arc plasma deposition.
  291. Ofer Sneh, Radical-assisted sequential CVD.
  292. Sneh Ofer, Radical-assisted sequential CVD.
  293. Tobin Roderick C. (Mount Waverley AUX) Perry Nigel D. (Altona AUX), Room temperature metal vapour laser.
  294. Heinz Gessner CH, Rotary switch with keying function.
  295. Marsh, Eugene P.; Kraus, Brenda D., RuSixOy-containing adhesion layers and process for fabricating the same.
  296. Ahn, Kie Y.; Forbes, Leonard, Ruthenium layer for a dielectric layer containing a lanthanide oxide.
  297. Krivokapic, Zoran; Xiang, Qi; Yu, Bin, SOI device with metal source/drain and method of fabrication.
  298. Jeong Hee Oh KR, SOI wafer device and a method of fabricating the same.
  299. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  300. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  301. Ahn,Kie Y.; Forbes,Leonard, Semiconductor constructions comprising cerium oxide and titanium oxide.
  302. Doczy,Mark L.; Kavalieros,Jack; Metz,Matthew V.; Brask,Justin K.; Datta,Suman; Chau,Robert S., Semiconductor device with a high-k gate dielectric and a metal gate electrode.
  303. Kutsunai, Toshie; Hayashi, Shinichiro; Mikawa, Takumi; Judai, Yuji, Semiconductor device with oxygen diffusion barrier layer termed from composite nitride.
  304. Teraguchi Nobuaki,JPX, Semiconductor light-emitting device.
  305. Jamal Ramdani ; Ravindranath Droopad ; Lyndee L. Hilt ; Kurt William Eisenbeiser, Semiconductor structure, semiconductor device, communicating device, integrated circuit, and process for fabricating the same.
  306. Liang,Yong; Li,Hao, Semiconductor structures and methods for fabricating semiconductor structures comprising high dielectric constant stacked structures.
  307. Morishita, Takashi; Matsui, Masahiro, Semiconductor substrate and its production method, semiconductor device comprising the same and its production method.
  308. Smith Malcolm H., Sense amplifier for flash memory.
  309. Kalal, Peter J.; Quesada, Mark A., Sensors, methods of manufacture and sensing methods.
  310. Sherman Arthur, Sequential chemical vapor deposition.
  311. Sherman, Arthur, Sequential chemical vapor deposition.
  312. Li, Weimin, Sequential pulse deposition.
  313. Forbes,Leonard; Ahn,Kie Y.; Bhattacharyya,Arup, Silicon lanthanide oxynitride films.
  314. Ahn Kie Y. ; Forbes Leonard, Silicon multi-chip module packaging with integrated passive components and method of making.
  315. Fengyan Zhang ; Yanjun Ma ; Jer-Shen Maa ; Wei-Wei Zhuang ; Sheng Teng Hsu, Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same.
  316. Hsu, Sheng Teng; Zhang, Fengyan, Single transistor ferroelectric transistor structure with high-K insulator and method of fabricating same.
  317. Forbes,Leonard; Farrar,Paul A., Strained semiconductor, devices and systems and methods of formation.
  318. Kaushik, Vidya S.; Nguyen, Bich-Yen, Strontium nitride or strontium oxynitride gate dielectric.
  319. Ahn Kie Y. ; Forbes Leonard ; Cloud Eugene H., Structure and method for a high performance electronic packaging assembly.
  320. Ahn, Kie Y.; Forbes, Leonard; Cloud, Eugene H., Structure and method for a high-performance electronic packaging assembly.
  321. Ahn Kie Y. ; Forbes Leonard, Structure and method for dual gate oxide thicknesses.
  322. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  323. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  324. Marsh Eugene P., Structures including low carbon/oxygen conductive layers.
  325. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  326. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  327. Ogami Nobutoshi (Shiga JPX) Kitagawa Masaru (Shiga JPX), Surface treatment apparatus.
  328. Vaartstra,Brian A.; Quick,Timothy A., Systems and method for forming silicon oxide layers.
  329. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming metal oxide layers.
  330. Vaartstra,Brian A., Systems and methods for forming metal oxides using alcohols.
  331. Vaartstra,Brian A., Systems and methods for forming metal oxides using alcohols.
  332. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands.
  333. Vaartstra,Brian A., Systems and methods for forming metal oxides using metal diketonates and/or ketoimines.
  334. Vaartstra, Brian A.; Westmoreland, Donald L., Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides.
  335. Vaartstra,Brian A., Systems and methods for forming metal-doped alumina.
  336. Vaartstra, Brian A., Systems and methods for forming refractory metal nitride layers using disilazanes.
  337. Vaartstra, Brian A., Systems and methods for forming refractory metal nitride layers using organic amines.
  338. Vaartstra, Brian A.; Uhlenbrock, Stefan, Systems and methods for forming strontium- and/or barium-containing layers.
  339. Vaartstra,Brian A.; Uhlenbrock,Stefan, Systems and methods for forming strontium-and/or barium-containing layers.
  340. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming tantalum oxide layers and tantalum precursor compounds.
  341. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming tantalum oxide layers and tantalum precursor compounds.
  342. Vaartstra,Brian A., Systems and methods for forming tantalum silicide layers.
  343. Vaartstra,Brian A., Systems and methods for forming zirconium and/or hafnium-containing layers.
  344. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using disilazanes.
  345. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using disilazanes.
  346. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using organic amines.
  347. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Tantalum lanthanide oxynitride films.
  348. Bakli, Mouloud; Ghanayem, Steve G.; Tran, Huyen T., Tantalum nitride CVD deposition by tantalum oxide densification.
  349. Duenas Salvador ; Kola Ratnaji Rao ; Kumagai Henry Y. ; Lau Maureen Yee ; Sullivan Paul A. ; Tai King Lien, Thin film capacitors and process for making them.
  350. Samavedam, Srikanth B.; Hobbs, Christopher C.; Taylor, Jr., William J., Transistor having a high K dielectric and short gate length and method therefor.
  351. Zoran Krivokapic, Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication.
  352. Klemperer, Walter G.; Lee, Jason; Mikalsen, Erik A.; Payne, David A., Ultrathin oxide films on semiconductors.
  353. Wang, Zhigang; Guo, Xin; He, Yue-Song, Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling.
  354. Halliyal, Arvind; Ramsbey, Mark T.; Zhang, Wei; Randolph, Mark W.; Cheung, Fred T. K., Use of high-K dielectric material in modified ONO structure for semiconductor devices.
  355. Saeki Hiroaki (Yamanashi JPX), Vacuum processing apparatus.
  356. Ohashi Tadashi,JPX ; Chaki Katuhiro,JPX ; Xin Ping,JPX ; Fujii Tatsuo,JPX ; Iwata Katsuyuki,JPX ; Mitani Shinichi,JPX ; Honda Takaaki,JPX, Vapor deposition apparatus and method for forming thin film.
  357. Forbes Leonard, Vertical bipolar read access for low voltage memory cell.
  358. Ramakrishnan E. S. (Albuquerque) Cornett Kenneth D. (Albuquerque) Howng Wei-Yean (Albuquerque NM), Voltage variable capacitor having amorphous dielectric film.
  359. Forbes, Leonard, Write once read only memory employing charge trapping in insulators.
  360. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium silicon-oxynitride gate dielectric.
  361. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  362. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  363. Ahn,Kie Y.; Forbes,Leonard, Zr--Sn--Ti--O films.
  364. Ahn, Kie Y.; Forbes, Leonard, Zr-Sn-Ti-O films.

이 특허를 인용한 특허 (2)

  1. Ahn, Kie Y.; Forbes, Leonard, Ruthenium for a dielectric containing a lanthanide.
  2. Ahn, Kie Y.; Forbes, Leonard, Ruthenium for a dielectric containing a lanthanide.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로