$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Semiconductor device and structure

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G11C-007/10
출원번호 US-0904124 (2010-10-13)
등록번호 US-8379458 (2013-02-19)
발명자 / 주소
  • Or-Bach, Zvi
  • Widjaja, Yuniarto
  • Sekar, Deepak C.
출원인 / 주소
  • Monolithic 3D Inc.
인용정보 피인용 횟수 : 33  인용 특허 : 305

초록

A method of performing a holding operation to a semiconductor memory array having rows and columns of memory cells by applying an electrical signal to collector regions of multiplicity of said memory cells in parallel, wherein said collector region of said memory cells in a row of said memory array

대표청구항

1. A method of performing a holding operation to a semiconductor memory array having rows and columns of memory cells, the method comprising: applying an electrical signal to collector regions of multiplicity of said memory cells in parallel,wherein said collector region of said memory cells in a ro

이 특허에 인용된 특허 (305)

  1. Bernstein, Kerry; Coteus, Paul W.; Emma, Philip G., 3-dimensional integrated circuit architecture, structure and method for fabrication thereof.
  2. Enquist,Paul M.; Fountain, Jr.,Gaius Gillman; Tong,Qin Yi, 3D IC method and device.
  3. Farooq, Mukta G.; Hannon, Robert; Iyer, Subramanian S.; Koester, Steven J.; Purushothaman, Sampath; Yu, Roy R., 3D integrated circuit device fabrication with precisely controllable substrate removal.
  4. Lung, Hsiang-Lan; Shih, Yen-Hao; Lai, Erh-Kun; Lee, Ming Hsiu; Lue, Hang-Ting, 3D memory array arranged for FN tunneling program and erase.
  5. Spitzer Mark B., Active matrix color display with multiple cells and connection through substrate.
  6. Kub Francis J. ; Temple Victor ; Hobart Karl ; Neilson John, Advanced methods for making semiconductor devices by low temperature direct bonding.
  7. Sarma,Chandrasekhar, Alignment of MTJ stack to conductive lines in the absence of topography.
  8. Hamm Robert Alan ; Kopf Rose Fasano ; Pinzone Christopher James ; Ryan Robert William ; Tate Alaric, Alignment techniques for epitaxial growth processes.
  9. Madurawe,Raminda Udaya, Alterable application specific integrated circuit (ASIC).
  10. Zhang Guobiao (Elcerrito CA) Hu Chenming (Alamo CA) Chiang Steve S. (Saratoga CA), Antifuse structure suitable for VLSI application.
  11. Silverstein Louis D. (Scottsdale AZ) Bernot Anthony J. (Gilbert AZ), Apparatus and method for an electronically controlled color filter for use in information display applications.
  12. Plants,William C.; McCollum,John; Speers,Theodore, Architecture for face-to-face bonding between substrate and multiple daughter chips.
  13. Or-Bach, Zvi, Array of programmable cells with customized interconnections.
  14. Yu Ruey J. (Austin TX), BICMOS NAND gate.
  15. Mule,Tony; Meindl,James D.; Gaylord,Thomas K., Back-side-of-die, through-wafer guided-wave optical clock distribution networks, method of fabrication thereof, and uses thereof.
  16. Kellar, Scot A.; Kim, Sarah E.; List, R. Scott, Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack.
  17. Okhonin,Serguei; Nagoga,Mikhail, Bipolar reading technique for a memory cell having an electrically floating body transistor.
  18. Pinnington, Thomas Henry; Zahler, James M.; Park, Young-Bae; Ladous, Corinne; Olson, Sean, Bonded intermediate substrate and method of making same.
  19. Lee, Sang-Yun, Bonded semiconductor structure and method of fabricating the same.
  20. Raby Joseph S. (W. Melbourne FL), Bonding technique to join two or more silicon wafers.
  21. Ho, ChiaHua; Lai, Erh Kun; Hsieh, Kuang Yeu, Bridge resistance random access memory device with a singular contact structure.
  22. Lauxtermann, Stefan C.; DeNatale, Jeffrey F., Buried via technology for three dimensional integrated circuits.
  23. Cox, William D., Cell architecture to reduce customization in a semiconductor device.
  24. Neville Matthew (Champaign IL) Fluck David J. (Pesotum IL) Hung Cheng-Hung (Champaign IL) Lucarelli Michael A. (Mattoon IL) Scherber Debra L. (Orangevale CA), Chemical mechanical polishing slurry for metal layers.
  25. Paniccia Mario J. ; Young Ian A. ; Thomas Thomas P. ; Rao Valluri R. M., Clock distribution network.
  26. Henley Francois J. ; Cheung Nathan, Clustertool system software using plasma immersion ion implantation.
  27. Cao Wanqing ; Lee Sang-Yun ; Lo Guo-Qiang ; Lee Shih-Ked, Cobalt silicide structure for improving gate oxide integrity and method for fabricating same.
  28. Merrill Richard Billings, Color separation in an active pixel cell imaging array using a triple-well structure.
  29. Henley Francois J. ; Cheung Nathan, Controlled cleavage process and device for patterned films.
  30. Henley, Francois J.; Cheung, Nathan, Controlled cleavage process and device for patterned films.
  31. Henley,Francois J.; Cheung,Nathan W., Controlled cleaving process.
  32. Or Bach,Zvi, Customizable and programmable cell array.
  33. Or Bach,Zvi, Customizable and programmable cell array.
  34. Or Bach,Zvi, Customizable and programmable cell array.
  35. Or-Bach Zvi ; Wurman Ze'ev ; Zeman Richard ; Cooke Laurance, Customizable and programmable cell array.
  36. Or-Bach, Zvi, Customizable and programmable cell array.
  37. Or-Bach, Zvi, Customizable and programmable cell array.
  38. Or-Bach, Zvi; Wurman, Ze'ev; Zeman, Richard; Cooke, Laurance, Customizable and programmable cell array.
  39. Fazan,Pierre; Okhonin,Serguei, Data storage device and refreshing method for use with such device.
  40. Abou-Khalil, Michel J.; Gauthier, Jr., Robert J.; Lee, Tom C.; Li, Junjun; Putnam, Christopher S.; Mitra, Souvick, Design structures for high-voltage integrated circuits.
  41. Abadeer, Wagdi W.; Chatty, Kiran V.; Gauthier, Jr., Robert J.; Rankin, Jed H.; Shi, Yun; Tonti, William R., Device and design structures for memory cells in a non-volatile random access memory and methods of fabricating such device structures.
  42. Gill Manzur (Rosharon TX), Diffusionless conductor/oxide semiconductor field effect transistor and methods for making and using the same.
  43. Olsen, Richard Ian; Sato, Darryl L.; Moller, Borden; Vitomirov, Olivera; Brady, Jeffrey A.; Gunawan, Ferry; Oten, Remzi; Sun, Feng Qing; Gates, James, Digital camera with integrated infrared (IR) response.
  44. Cohen Simon S. (Burlington MA), Electrically programmable link structures and methods of making same.
  45. Lee, Sang Yun, Electronic circuit with embedded memory.
  46. Chia-Gee Wang ; Raphael Tsu ; John Clay Lofgren, Epitaxial SiOx barrier/insulation layer.
  47. Tavana Danesh ; Yee Wilson K. ; Holen Victor A., FPGA architecture with repeatable titles including routing matrices and logic matrices.
  48. Goetting F. Erich, FPGA having logic cells configured by SRAM memory cells and interconnect configured by antifuses.
  49. Speers,Theodore, Face-to-face bonded I/O circuit die and functional logic circuit die system.
  50. Brian Sze-Ki Mo ; Duc Chau ; Steven Sapp ; Izak Bencuya ; Dean Edward Probst, Field effect transistor and method of its manufacture.
  51. Takahashi Makoto (Yokohama JPX) Hatori Fumitoshi (Tachikawa JPX) Nogami Kazutaka (Palo Alto JPX) Uchida Masanori (Chino JPX), Field programmable gate array.
  52. Aronowitz Sheldon ; Puchner Helmut ; Kapre Ravindra A. ; Kimball James P., Formation of integrated circuit structure using one or more silicon layers for implantation and out-diffusion in formation of defect-free source/drain regions and also for subsequent formation of sil.
  53. Norman Michael P. (Chandler AZ) Holm Paige M. (Phoenix AZ), Full color light emitting diode display.
  54. Norman Michael P. (Chandler AZ) Holm Paige M. (Phoenix AZ), Full color light emitting diode display assembly.
  55. Sato Shinji (Yokohama JPX), Gate-array chip.
  56. Pelley, Perry H.; McShane, Michael B., High bandwidth cache-to-processing unit communication in a multiple processor/cache system.
  57. Leung Wingyu (Cupertino CA), High density SRAM circuit with ratio independent memory cells.
  58. Leung Wingyu (Cupertino CA) Hsu Fu-Chieh (Saratoga CA), High density SRAM circuit with single-ended memory cells.
  59. Larson Brent D. (Cave Creek AZ), High resolution subtractive color projection system.
  60. Nowak,Edward J., High-performance CMOS SOI devices on hybrid crystal-oriented substrates.
  61. Madurawe,Raminda U., Insulated-gate field-effect thin film transistors.
  62. Madurawe,Raminda Udaya, Insulated-gate field-effect thin film transistors.
  63. Or Bach,Zvi; Apostol,Adrian; Cooke,Laurence H., Integrated circuit communication techniques.
  64. Fitch Jon T. (Austin TX) Venkatesan Suresh (Austin TX) Witek Keith E. (Austin TX), Integrated circuit having both vertical and horizontal devices and process for making the same.
  65. Singh,Anant Pratap, Integrated circuit having memory array including row redundancy, and method of programming, controlling and/or operating same.
  66. Lue, Hang-Ting, Integrated circuit self aligned 3D memory array and manufacturing method.
  67. New,Bernard J.; Conn,Robert O.; Young,Steven P.; Young,Edel M., Integrated circuit with interface tile for coupling to a stacked-die second integrated circuit.
  68. Lebby Michael S. ; Nelson Ronald J., Integrated electro-optical package with independent menu bar.
  69. Norman Michael P. (Chandler AZ) Harvey ; III Thomas B. (Scottsdale AZ) Zhu Xiaodong T. (Chandler AZ), Integrated multicolor organic led array.
  70. Shimoto,Tadanori; Kikuchi,Katsumi; Matsui,Koji; Baba,Kazuhiro, Interconnecting substrate for carrying semiconductor device, method of producing thereof and package of semiconductor device.
  71. Vyvoda, Michael A.; Herner, S. Brad; Petti, Christopher J.; Walker, Andrew J., Inverted staggered thin film transistor with salicided source/drain structures and method of making same.
  72. Hopper,Peter J.; Lindorfer,Philipp; Vashchenko,Vladislav; Mirgorodski,Yuri, Laser powered clock circuit with a substantially reduced clock skew.
  73. Yamazaki, Shunpei; Takayama, Toru, Light emitting device and electronic apparatus.
  74. Yamazaki, Shunepi; Takayama, Toru, Light emitting device, electronic equipment, and organic polarizing film.
  75. Chen Hsing,TWX, Light emitting diode emitting red, green and blue light.
  76. Leedy,Glenn J, Lithography device for semiconductor circuit pattern generator.
  77. Madurawe,Raminda Udaya, Look-up table based logic macro-cells.
  78. Ouyang, Qiqing Christine; Chu, Jack Oon, Low leakage heterojunction vertical transistors and high performance devices thereof.
  79. Rohatgi Ajeet (Murrysville PA) Rai-Choudhury Prosenjit (Export PA) Gigante Joseph R. (Beltsville MD) Singh Ranbir (State College PA) Fonash Stephen J. (State College PA), Low temperature process for annealing shallow implanted N+/P junctions.
  80. Tiwari, Sandip, Low temperature semiconductor layering and three-dimensional electronic circuits using the layering.
  81. Geusic, Joseph E., Low temperature silicon wafer bond process with bulk material bond strength.
  82. Carman,Eric, Memory array having a programmable word length, and method of operating same.
  83. Okhonin,Serguei; Nagoga,Mikhail, Memory cell having an electrically floating body transistor and programming technique therefor.
  84. Forbes, Leonard; Geusic, Joseph E., Memory using insulator traps.
  85. Rindal Abraham E., Method and apparatus for amplitude band enabled addressing arrayed elements.
  86. Rao Vallur R., Method and apparatus for distributing an optical clock in an integrated circuit.
  87. Eilert,Sean S., Method and apparatus for generating a device ID for stacked devices.
  88. Dawson Robert ; Fulford ; Jr. H. Jim ; Gardner Mark I. ; Hause Frederick N. ; Michael Mark W. ; Moore Bradley T. ; Wristers Derick J., Method and apparatus for in situ anneal during ion implant.
  89. Paniccia Mario J., Method and apparatus providing an optical input/output bus through the back side of an integrated circuit die.
  90. Bauser,Philippe, Method and circuitry to generate a reference current for reading a memory cell, and device implementing same.
  91. Campbell, John E.; Devine, William T.; Srikrishnan, Kris V., Method and structure for buried circuits and devices.
  92. Campbell,John E.; Devine,William T.; Srikrishnan,Kris V., Method and structure for buried circuits and devices.
  93. Henley, Francois J., Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process.
  94. Leung Wingyu ; Hsu Fu-Chieh, Method and structure for implementing a cache memory using a DRAM array.
  95. Schiefele, Walter P.; Krueger, Robert O., Method for creating circuit redundancy in programmable logic devices.
  96. Or-Bach, Zvi, Method for design and manufacture of semiconductors.
  97. Sharon Zahar, Method for determining bus line routing for components of an integrated circuit.
  98. Kennedy James R. (Huntington NY) Ting Edmund Y. (Oyster Bay NY), Method for diffusion bonding of alloys having low solubility oxides.
  99. Pramanick Shekhar (Fremont CA) Nayak Deepak (Santa Clara CA), Method for electrically conductive metal-to-metal bonding.
  100. Kim, Tae Kyun, Method for fabricating a MOSFET device.
  101. Kawamura Seiichiro (Tokyo JPX), Method for fabricating an SOI device in alignment with a device region formed in a semiconductor substrate.
  102. Iriguchi, Chiharu, Method for fabricating semiconductor device, and electro-optical device, integrated circuit and electronic apparatus including the semiconductor device.
  103. Kub Francis J. ; Hobart Karl D., Method for fabricating singe crystal materials over CMOS devices.
  104. Yamazaki Shunpei (Tokyo JPX) Takemura Yasuhiko (Kanagawa JPX) Mase Akira (Aichi JPX) Uochi Hideki (Kanagawa JPX), Method for forming a multilayer integrated circuit.
  105. Zavracky Paul M. (Norwood MA) Zavracky Matthew (Attleboro MA) Vu Duy-Phach (Taunton MA) Dingle Brenda (Mansfield MA), Method for forming three dimensional processor using transferred thin film circuits.
  106. Hawryluk, Andrew M.; Talwar, Somit; Wang, Yun; Thompson, Michael O., Method for laser thermal processing using thermally induced reflectivity switch.
  107. Lee,Sang Yun, Method for making a three-dimensional integrated circuit structure.
  108. Chan Kevin Kok ; D'Emic Christopher Peter ; Jones Erin Catherine ; Solomon Paul Michael ; Tiwari Sandip, Method for making bonded metal back-plane substrates.
  109. Inoue, Satoshi; Shimoda, Tatsuya, Method for making three-dimensional device.
  110. Matsui Masaki,JPX ; Yamauchi Shoichi,JPX ; Ohshima Hisayoshi,JPX ; Onoda Kunihiro,JPX ; Asai Akiyoshi,JPX ; Sasaya Takanari,JPX ; Enya Takeshi,JPX ; Sakakibara Jun,JPX, Method for manufacturing a semiconductor substrate.
  111. Ito Tatsuo (Joetsu JPX) Uchiyama Atsuo (Chiisagata JPX) Fukami Masao (Nagano JPX), Method for preparing a substrate for semiconductor devices.
  112. Ichikawa Takeshi (Zama JPX) Yonehara Takao (Atsugi JPX) Sakaguchi Kiyofumi (Atsugi JPX), Method for preparing semiconductor member.
  113. Chao Ying-Chen (Hsin-Chu TWX), Method for producing patterning alignment marks in oxide.
  114. Sato Nobuhiko,JPX ; Yonehara Takao,JPX ; Sakaguchi Kiyofumi,JPX, Method for producing semiconductor substrate.
  115. Goesele Ulrich M. ; Tong Q.-Y., Method for the transfer of thin layers of monocrystalline material to a desirable substrate.
  116. Brian S. Doyle, Method of delaminating a thin film using non-thermal techniques.
  117. Tehrani Saied N. ; Shiralagi Kumar ; Goronkin Herbert, Method of fabricating 3D multilayer semiconductor circuits.
  118. Kugimiya Koichi (Toyonaka JPX) Akiyama Shigenobu (Hirakata JPX) Fuse Genshu (Hirakata JPX), Method of fabricating a multi-layer type semiconductor device including crystal growth by spirally directing energy beam.
  119. Harder Christoph S. (Zurich CHX) Jaeckel Heinz (Kilchberg CHX) Wolf Hans P. (Zurich CHX), Method of fabricating a self-aligned metal-semiconductor FET having an insulator spacer.
  120. Norman Michael P. (Chandler AZ) Harvey ; III Thomas B. (Scottsdale AZ) Zhu Xiaodong T. (Chandler AZ), Method of fabricating an integrated multicolor organic led array.
  121. Kwon, Jang yeon; Han, Min koo; Cho, Se young; Park, Kyung bae; Kim, Do young; Lee, Min cheol; Han, Sang myeon; Noguchi, Takashi; Park, Young soo; Jung, Ji sim, Method of fabricating poly-crystalline silicon thin film and method of fabricating transistor using the same.
  122. Forrest Stephen R. ; Thompson Mark E. ; Burrows Paul E. ; Bulovic Vladimir ; Gu Gong, Method of fabricating transparent contacts for organic devices.
  123. Faris, Sadeg M., Method of fabricating vertical integrated circuits.
  124. Robert William McClelland ; Noa More Rensing ; Mark Bradley Spitzer ; Paul Daniel Aquilino ; Paul Martin Zavracky, Method of fabrication of a torsional micro-mechanical mirror system.
  125. Bin Yu, Method of forming a double gate transistor having an epitaxial silicon/germanium channel region.
  126. Mathew, Leo; Jawarani, Dharmesh, Method of forming an electronic device using a separation-enhancing species.
  127. Farooq, Mukta G.; Iyer, Subramanian S.; Koester, Steven J.; Zhu, Huilong, Method of making 3D integrated circuits.
  128. Yeouchung Yen ; Chen Shih-Oh ; Fang Leuh ; Poon Elaine K. ; Kruger James B., Method of making a metal to metal antifuse.
  129. Ramm Peter (Pfaffenhofen DEX) Buchner Reinhold (Unterfohring DEX), Method of making a three-dimensional integrated circuit.
  130. Anderson James M. (Huntington Beach CA) Coulson Andrew R. (Santa Monica CA) Demaioribus Vincent J. (Redondo Beach CA) Nicholas Henry T. (Redondo Beach CA), Method of making an adaptive configurable gate array.
  131. Huang Rong-Ting ; Wright Phil ; Holm Paige M., Method of manufacture of active matrix LED array.
  132. Shunpei Yamazaki JP, Method of manufacturing a semiconductor device.
  133. Kang Sang-Won (Daejeon KRX) Yu Hyun-Kyu (Daejeon KRX) Kang Won-Gu (Daejeon KRX), Method of manufacturing a semiconductor device having buried elements with electrical characteristic.
  134. Aspar Bernard,FRX ; Biasse Beatrice,FRX ; Bruel Michel,FRX, Method of obtaining a thin film of semiconductor material.
  135. Wang, Chih Hsin; Yeh, Bing, Method of operating a semiconductor memory array of floating gate memory cells with horizontally oriented edges.
  136. Ulyashin, Alexander; Usenko, Alexander, Method of producing a thin layer of crystalline material.
  137. Aspar Bernard,FRX ; Bruel Michel,FRX ; Poumeyrol Thierry,FRX, Method of producing a thin layer of semiconductor material.
  138. Aspar, Bernard; Bruel, Michel; Poumeyrol, Thierry, Method of producing a thin layer of semiconductor material.
  139. Aspar,Bernard; Bruel,Michel; Poumeyrol,Thierry, Method of producing a thin layer of semiconductor material.
  140. Yamagata Kenji (Kawasaki JPX) Yonehara Takao (Atsugi JPX), Method of producing semiconductor substrate.
  141. Yamagata, Kenji, Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device.
  142. Shih Tsu,TWX ; Yu Chen-Hua,TWX, Method of recovering alignment marks after chemical mechanical polishing of tungsten.
  143. Tong,Qin Yi, Method of room temperature covalent bonding.
  144. Hayashi Yoshihiro (Tokyo JPX), Method of stacking semiconductor substrates for fabrication of three-dimensional integrated circuit.
  145. Abramovici,Miron, Method to locate logic errors and defects in digital circuits.
  146. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  147. Madurawe,Raminda Udaya, Methods for fabricating fuse programmable three dimensional integrated circuits.
  148. Parekh Kunal R. ; Zahurak John K. ; Wald Phillip G., Methods of forming capacitors, DRAM arrays, and monolithic integrated circuits.
  149. Gonzalez, Fernando, Methods of forming semiconductor constructions.
  150. Gonzalez, Fernando, Methods of forming semiconductor constructions.
  151. Gonzalez, Fernando, Methods of forming semiconductor constructions.
  152. Frank, David J.; La Tulipe, Jr., Douglas C.; Steen, Steven E.; Topol, Anna W., Methods of forming wiring to transistor and related transistor.
  153. Ho, ChiaHua; Lai, Erh Kun; Hsieh, Kuang Yeu, Methods of operating a bistable resistance random access memory with multiple memory layers and multilevel memory states.
  154. Tsai Hsi-Jung,TWX, Microcontroller with programmable embedded flash memory.
  155. Shigeeda Akio, Microprocessor unit having a first level write-through cache memory and a smaller second-level write-back cache memory.
  156. Stephen Ross Forrest ; Mark Edward Thompson ; Paul Edward Burrows ; Dennis Matthew McCarty ; Linda Susan Sapochak ; Jon Andrew Cronin, Mixed vapor deposited films for electroluminescent devices.
  157. Strite Samuel C.,CHX, Monolithic array of light emitting diodes for the generation of light at multiple wavelengths and its use for multicolor.
  158. Cao,Densen, Monolitholic LED chip to emit multiple colors.
  159. New, Bernard J., Multi-chip programmable logic device having configurable logic circuitry and configuration data storage on different dice.
  160. Kusunoki Shigeru (Hyogo JPX), Multi-layer type semiconductor device with semiconductor element layers stacked in opposite direction and manufacturing.
  161. Lai, Erh-Kun; Ho, ChiaHua; Hsieh, Kuang Yeu, Multi-level cell resistance random access memory with metal oxides.
  162. Forrest Stephen Ross ; Thompson Mark Edward ; Burrows Paul Edward ; Sapochak Linda Susan ; McCarty Dennis Matthew, Multicolor organic light emitting devices.
  163. Forrest Stephen Ross ; Thompson Mark Edward ; Burrows Paul Edward ; Sapochak Linda Susan ; McCarty Dennis Matthew, Multicolor organic light emitting devices.
  164. Forrest Stephen Ross ; Thompson Mark Edward ; Burrows Paul Edward ; Sapochak Linda Susan ; McCarty Dennis Matthew, Multicolor organic light emitting devices.
  165. Cleeves, James M.; Subramanian, Vivek, Multigate semiconductor device with vertical channel current and method of fabrication.
  166. Wahlstrom Sven E. (570 Jackson Dr. Palo Alto CA 94303), Multilevel integrated circuits employing fused oxide layers.
  167. Bin Yu, Multiple active layer structure and a method of making such a structure.
  168. McCollum,John; Bakker,Gregory; Greene,Jonathan, Non-volatile look-up table for an FPGA.
  169. Jang, Jae Hoon; Jung, Soon Moon; Kim, Jong Hyuk; Rah, Young Seop; Park, Han Byung, Non-volatile memory devices including etching protection layers and methods of forming the same.
  170. Brask,Justin K.; Doyle,Brian S.; Doczy,Mark L.; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  171. Yonehara Takao (Atsugi JPX), Optical annealing method for semiconductor layer and method for producing semiconductor device employing the same semico.
  172. Bjorkman,Claes; West,Lawrence C.; Maydan,Dan; Broydo,Samuel, Optical ready substrates.
  173. West,Lawrence C.; Bj철rkman,Claes; Maydan,Dan; Broydo,Samuel, Optical ready wafers.
  174. Forrest Stephen Ross ; Thompson Mark Edward ; Burrows Paul Edward ; Sapochak Linda Susan ; McCarty Dennis Matthew, Organic light emitting devices.
  175. Stephen Ross Forrest ; Mark Edward Thompson ; Paul Edward Burrows ; Linda Susan Sapochak ; Dennis Matthew McCarty, Organic light emitting devices.
  176. Kunimoto,Kazuhiko; Tanabe,Junichi; Kura,Hisatoshi; Oka,Hidetaka; Ohwa,Masaki, Oxime ester photoiniators having a combined structure.
  177. Tanabe, Junichi; Kunimoto, Kazuhiko; Kura, Hisatoshi; Oka, Hidetaka; Ohwa, Masaki, Oxime ester photoinitiators.
  178. Siniaguine Oleg ; Savastiouk Sergey, Package of integrated circuits and vertical integration.
  179. Hen, Chang Hsiu, Package structure of full color LED form by overlap cascaded die bonding.
  180. Lung, Hsiang-Lan; Liu, Rich; Chen, Shih-Hung; Chen, Yi-Chou, Phase change memory cell and manufacturing method.
  181. Lung, Hsiang Lan; Chen, Shih-Hung, Phase change memory device and manufacturing method.
  182. Kim,Juhan, Planar capacitor memory cell and its applications.
  183. Taylor Brad (Oakland CA), Pld connector for module having configuration of either first PLD or second PLD and reconfigurable bus for communication.
  184. Curran Patrick A. (Plano TX), Process for making a buried conductor by fusing two wafers.
  185. Takao Yoshihiro (Kawasaki JPX), Process for manufacturing three dimensional IC\s.
  186. Kaga Toru (Saitama JPX) Kawamoto Yoshifumi (Kanagawa JPX) Sunami Hideo (Tokyo JPX), Process for manufacturing vertical dynamic random access memories.
  187. Yamagata Kenji (Kawasaki JPX) Yonehara Takao (Atsugi JPX), Process for producing a semiconductor substrate.
  188. Yamagata Kenji,JPX ; Yonehara Takao,JPX, Process for producing a semiconductor substrate.
  189. Sakaguchi, Kiyofumi; Yonehara, Takao; Nishida, Shoji; Yamagata, Kenji, Process for producing semiconductor article.
  190. Sakaguchi,Kiyofumi; Yonehara,Takao, Process for production of semiconductor substrate.
  191. Bruel Michel,FRX, Process for the manufacture of thin films of semiconductor material.
  192. Bruel Michel (Veurey FRX), Process for the production of thin semiconductor material films.
  193. Yasumoto Masaaki (Tokyo JPX) Hayama Hiroshi (Tokyo JPX) Enomoto Tadayoshi (Tokyo JPX), Process of fabricating three-dimensional semiconductor device.
  194. Yonehara Takao (Atsugi JPX) Yamagata Kenji (Kawasaki JPX), Process of making semiconductor-on-insulator substrate.
  195. Kim, Sarah E.; List, R. Scott; Kellar, Scot A., Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices.
  196. Kim,Sarah E.; List,R. Scott; Kellar,Scot A., Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices.
  197. Gordon Kathryn E. (Mountain View CA) Wong Richard J. (Milpitas CA), Programmable interconnect structures and programmable integrated circuits.
  198. Bertin Claude Louis ; Cronin John Edward, Programmable logic array.
  199. Lee,Jong Jan; Hsu,Sheng Teng; Tweet,Douglas J.; Maa,Jer Shen, Real-time CMOS imager having stacked photodiodes fabricated on SOI wafer.
  200. Huppenthal,Jon M.; Guzy,D. James, Reconfigurable processor module comprising hybrid stacked integrated circuit die elements.
  201. Issaq,A. Farid; Hawley,Frank; McCollum,John, Reprogrammable metal-to-metal antifuse employing carbon-containing antifuse material.
  202. Issaq,A. Farid; Hawley,Frank; McCollum,John, Reprogrammable metal-to-metal antifuse employing carbon-containing antifuse material.
  203. Solomon, Neal, Reprogrammable three dimensional field programmable gate arrays.
  204. Wells, David H.; Manning, H. Montgomery, Reverse construction memory cell.
  205. Pelella Mario M. A. ; Assaderaghi Fariborz ; Wagner ; Jr. Lawrence Federick, SOI FET design to reduce transient bipolar current.
  206. Divakauni, Ramachandra; Hakey, Mark C.; Ma, William H.-L.; Mandelman, Jack A.; Tonti, William R., SOI stacked DRAM logic.
  207. Ishibashi Akira,JPX ; Nakayama Norikazu,JPX, Selfluminous display device having light emission sources having substantially non-overlapping spectra levels.
  208. Lee, Sang-Yun, Semiconductor bonding and layer transfer method.
  209. Nemati Farid ; Plummer James D., Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches.
  210. Oh, ChoonSik; Lee, Sang-Yun, Semiconductor circuit.
  211. Farnworth,Warren M.; Wood,Alan G.; Hiatt,William M.; Wark,James M.; Hembree,David R.; Kirby,Kyle K.; Benson,Pete A., Semiconductor component having plate, stacked dice and conductive vias.
  212. Fazan, Pierre; Okhonin, Serguei, Semiconductor device.
  213. Fazan, Pierre; Okhonin, Serguei, Semiconductor device.
  214. Hara, Akito, Semiconductor device.
  215. Ido,Yasuhiro; Kono,Kazushi; Iwamoto,Takeshi, Semiconductor device.
  216. Ogura Atsushi (Tokyo JPX) Egami Koji (Tokyo JPX), Semiconductor device.
  217. Or Bach,Zvi; Cooke,Laurence; Apostol,Adrian; Iacobut,Romeo, Semiconductor device.
  218. Uehara Takao (Tokyo JPX) Tsuchimoto Takamitsu (Machida JPX) Hamada Katsuyuki (Kawasaki JPX) Masuzawa Hideo (Tokyo JPX) Mukai Makoto (Hino JPX), Semiconductor device.
  219. Yamazaki, Shunpei; Isobe, Atsuo; Godo, Hiromichi; Okazaki, Yutaka, Semiconductor device.
  220. Zvi Or-Bach ; Bill Douglas Cox, Semiconductor device.
  221. Ogawa,Yasuyuki; Takafuji,Yutaka, Semiconductor device and fabrication method for the same.
  222. Ogawa,Yasuyuki; Takafuji,Yutaka, Semiconductor device and fabrication method for the same.
  223. Yamazaki,Shunpei; Takayama,Toru, Semiconductor device and manufacturing method thereof.
  224. Saito Keishi (Nabari JPX) Fujioka Yasushi (Ueno JPX), Semiconductor device having a semiconductor region in which a band gap being continuously graded.
  225. Or-Bach, Zvi; Cooke, Laurance, Semiconductor device having borderless logic array and flexible I/O.
  226. Yoshihara, Shinji; Ohara, Fumio; Nagakubo, Masao, Semiconductor device including eutectic bonding portion and method for manufacturing the same.
  227. Tanaka, Toshihiko; Hattori, Takashi, Semiconductor device manufacturing method.
  228. Sakaguchi Kiyofumi (Atsugi JPX) Yonehara Takao (Atsugi JPX), Semiconductor device substrate and process for preparing the same.
  229. Arjun J. Saxena, Semiconductor device with single crystal films grown on arrayed nucleation sites on amorphous and/or non-single crystal surfaces.
  230. Sugibayashi, Tadahiko; Mogami, Tohru, Semiconductor integrated circuit and semiconductor integrated circuit device.
  231. Lee, Sang-Yun, Semiconductor layer structure and method of making the same.
  232. Lee, Sang-Yun, Semiconductor layer structure and method of making the same.
  233. Lee,Sang Yun, Semiconductor layer structure and method of making the same.
  234. Kimura Tatsuya,JPX ; Kawazu Zempei,JPX, Semiconductor light emitting diode producing visible light.
  235. Yonehara Takao (Atsugi JPX), Semiconductor member and process for preparing semiconductor member.
  236. Wang, Chih Hsin; Yeh, Bing, Semiconductor memory array of floating gate memory cells with horizontally oriented floating gate edges.
  237. Lee, Sang-Yun, Semiconductor memory device.
  238. Mazur Carlos A. (Austin TX) Fitch Jon T. (Austin TX) Hayden James D. (Austin TX) Witek Keith E. (Austin TX), Semiconductor memory device and method of formation.
  239. Kim, Doo-Gon; Park, Ki-Tae, Semiconductor memory device with three-dimensional array and repair method thereof.
  240. Bensch, Werner, Semiconductor structure comprising active zones.
  241. Yutaka Akino JP; Tadashi Atoji JP, Semiconductor substrate and method of manufacturing the same.
  242. Akino,Yutaka; Atoji,Tadashi, Semiconductor substrate having a stepped profile.
  243. Ipposhi, Takashi; Matsumoto, Takuji, Semiconductor wafer.
  244. Nemoto, Yoshihiko; Sunohara, Masahiro; Takahashi, Kenji, Semiconductor wafer having a separation portion on a peripheral area.
  245. Atanackovic,Petar B., Semiconductor-on-insulator silicon wafer.
  246. Waller,William Kenneth; Carman,Eric, Sense amplifier circuitry and architecture to write data into and/or read from memory cells.
  247. Cheung Nathan W. ; Sands Timothy D. ; Wong William S., Separation of thin films from transparent substrates by selective optical processing.
  248. Zavracky Paul M. (Norwood MA) Fan John C. C. (Chestnut Hill MA) McClelland Robert (Norwell MA) Jacobsen Jeffrey (Hollister CA) Dingle Brenda (Norton MA) Spitzer Mark B. (Sharon MA), Single crystal silicon arrayed devices for display panels.
  249. Okhonin, Serguei; Nagoga, Mikhail, Single transistor memory cell.
  250. Takafuji,Yutaka; Itoga,Takashi, Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device.
  251. Iyer Subramanian S. ; Baran Emil ; Mastroianni Mark L. ; Craven Robert A., Single-etch stop process for the manufacture of silicon-on-insulator wafers.
  252. Srikrishnan Kris V., Smart-cut process for the production of thin semiconductor material films.
  253. Bower,Robert W., Smooth thin film layers produced by low temperature hydrogen ion cut.
  254. Atkinson Gary M. (1012 - 7th St. ; #15 Santa Monica CA 90403) Courtney M. DuChesne (15127 Blackhawk Mission Hills CA 91345), Split collector vacuum field effect transistor.
  255. Lee, Jong-Joo; Lee, Dong-Ho, Stack chip and stack chip package having the same.
  256. Sugahara Kazuyuki (Hyogo JPX) Ajika Natsuo (Hyogo JPX) Ogawa Toshiaki (Hyogo JPX) Iwamatsu Toshiaki (Hyogo JPX) Ipposhi Takashi (Hyogo JPX), Stacked-type semiconductor device.
  257. Takahashi Hiroyuki,JPX, Static random access memory (SRAM) circuit.
  258. Meyer, Neal W.; Van Brocklin, Andrew L.; Fricke, Peter; Jackson, Warren; Eldredge, Kenneth James, Storage structure with cleaved layer.
  259. Lee,Jong Jan; Hsu,Sheng Teng; Tweet,Douglas J.; Maa,Jer Shen, Strained silicon fin structure.
  260. Langdo,Thomas A.; Currie,Matthew T.; Hammond,Richard; Lochtefeld,Anthony J.; Fitzgerald,Eugene A., Strained-semiconductor-on-insulator device structures.
  261. Rogers, John A.; Khang, Dahl Young; Menard, Etienne, Stretchable semiconductor elements and stretchable electrical circuits.
  262. Kirk,Robert S., Structured ASIC device with configurable die size and selectable embedded functions.
  263. Apostol,Adrian; Avram,Petrica; Iacobut,Romeo; Levinthal,Adam; Or Bach,Zvi; Wurman,Ze'ev; Zeman,Richard; Kapel,Alon; Grigore,George C., Structured integrated circuit device.
  264. Or Bach,Zvi; Avram,Petrica; Iacobut,Romeo; Apostol,Adrian; Wurman,Ze'ev; Leventhal,Adam; Zeman,Richard, Structured integrated circuit device.
  265. Or Bach,Zvi; Wurman,Ze'ev; Levinthal,Adam; Cooke,Laurence; Mihelcic,Stan, Structured integrated circuit device.
  266. Ito,Masataka; Yamagata,Kenji; Kakizaki,Yasuo; Takanashi,Kazuhito; Miyabayashi,Hiroshi; Moriwaki,Ryuji; Tsuboi,Takashi, Substrate manufacturing method and substrate processing apparatus.
  267. Jain, Ajaykumar R., System and method for manufacturing thick and thin film devices using a donee layer cleaved from a crystalline donor.
  268. Schuehrer,Holger; Hartig,Carsten; Bartsch,Christin; Frohberg,Kai, Technique for forming a passivation layer prior to depositing a barrier layer in a copper metallization layer.
  269. Andrew M. Hawryluk ; Somit Talwar ; Yun Wang ; David A. Markle ; Michael O. Thompson, Thermally induced phase switch for laser thermal processing.
  270. Takafuji,Yutaka; Itoga,Takashi; Ogawa,Yasuyuki, Thin film semiconductor device and fabrication method therefor.
  271. Barbee Steven G. (Dover Plains NY) Leas James M. (Washington DC) Lloyd James R. (Fishkill NY) Nagarajan Arunachala (Wappingers Falls NY), Thin film semiconductor device and method for manufacture.
  272. Henley,Francois J., Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process.
  273. Henley,Francois J., Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process.
  274. Pollack Gordon P. (Dallas TX) Matloubian Mishel (Dallas TX) Sundaresan Ravishankar (Garland TX), Thin oxide sidewall insulators for silicon-over-insulator transistors.
  275. Chan, Victor; Guarini, Kathryn W.; Ieong, Meikei, Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers.
  276. Alam,Syed M.; Elfadel,Ibrahim M.; Guarini,Kathryn W.; Ieong,Meikei; Kudva,Prabhakar N.; Kung,David S.; Lavin,Mark A.; Rahman,Arifur, Three dimensional integrated circuit.
  277. Alam, Syed M.; Elfadel, Ibrahim M.; Guarini, Kathryn W; Ieong, Meikei; Kudva, Prabhakar N.; Kung, David S.; Lavin, Mark A.; Rahman, Arifur, Three dimensional integrated circuit and method of design.
  278. Madurawe,Raminda Udaya, Three dimensional integrated circuits.
  279. Zavracky, Paul M.; Zavracky, Matthew; Vu, Duy-Phach; Dingle, Brenda, Three dimensional processor using transferred thin film circuits.
  280. Leedy Glenn J., Three dimensional structure memory.
  281. Ka Hing Fung ; H. Bernhard Pogge, Three-dimensional chip stacking assembly.
  282. Kato Takashi (Sagamihara JPX) Taguchi Masao (Sagamihara JPX), Three-dimensional integrated circuit and manufacturing method thereof.
  283. Matsushita Takeshi,JPX, Three-dimensional integrated circuit device and its manufacturing method.
  284. Lee, Sang-Yun, Three-dimensional integrated circuit structure.
  285. Greenlaw, David, Three-dimensional integrated semiconductor devices.
  286. N. Johan Knall ; Mark Johnson, Three-dimensional memory array and method of fabrication.
  287. Zhang Guobiao, Three-dimensional read-only memory.
  288. Zhang,Guobiao, Three-dimensional-memory-based self-test integrated circuits and methods.
  289. Nemati,Farid; Yang,Kevin J., Thyristor-based memory and its method of operation.
  290. Feng, Sheng; Lien, Jung-Cheun; Huang, Eddy C.; Sun, Chung-Yuan; Liu, Tong; Liao, Naihui; Xiong, Weidong, Tileable field-programmable gate array architecture.
  291. Feng,Sheng; Lien,Jung Cheun; Huang,Eddy C.; Sun,Chung Yuan; Liu,Tong; Liao,Naihui; Xiong,Weidong, Tileable field-programmable gate array architecture.
  292. Ogura, Takashi; Yamana, Shinji; Akai, Tomonori, Transfer film and process for producing organic electroluminescent device using the same.
  293. Chu, Jack Oon; Grill, Alfred; Herman, Jr., Dean A.; Saenger, Katherine L., Transferable device-containing layer for silicon-on-insulator applications.
  294. Vu Duy-Phach ; Dingle Brenda ; Cheong Ngwe K., Transferred flexible integrated circuit.
  295. Forrest,Stephen R.; Thompson,Mark E.; Burrows,Paul E.; Bulovic,Vladimir; Gu,Gong, Transparent contacts for organic devices.
  296. Noble Wendell P., Trench dram cell with vertical device and buried word lines.
  297. Hsu Chen-Chung (Taichung TWX), Trench method for three dimensional chip connecting during IC fabrication.
  298. Doris,Bruce B.; Ieong,Meikei; Ren,Zhibin; Solomon,Paul M.; Yang,Min, Ultra thin body fully-depleted SOI MOSFETs.
  299. Takayama,Toru; Maruyama,Junya; Goto,Yuugo; Kuwabara,Hideaki; Yamazaki,Shunpei, Vehicle, display device and manufacturing method for a semiconductor device.
  300. Lee,Sang Yun, Vertical memory device structures.
  301. Jones Gary W. (Raleigh NC) Sune Ching-Tzong (Raleigh NC), Vertical microelectronic field emission devices.
  302. Brodie Ivor (Palo Alto CA), Very high speed integrated microelectronic tubes.
  303. Lee, Sang Yun, Wafer bonding method.
  304. Lee,Sang Yun, Wafer bonding method.
  305. Forbes,Leonard, Write once read only memory employing floating gates.

이 특허를 인용한 특허 (33)

  1. Rabkin, Peter; Higashitani, Masaaki, 3D non-volatile storage with transistor decoding structure.
  2. Rabkin, Peter; Higashitani, Masaaki, 3D non-volatile storage with transistor decoding structure.
  3. Rabkin, Peter; Higashitani, Masaaki, 3D non-volatile storage with wide band gap transistor decoder.
  4. Rabkin, Peter; Higashitani, Masaaki, Fabricating 3D non-volatile storage with transistor decoding structure.
  5. Chen, Po-Yu, MOS transistor structure and method.
  6. Chen, Po-Yu, MOS transistor structure and method.
  7. Widjaja, Yuniarto; Han, Jin-Woo; Louie, Benjamin S., Memory device having electrically floating body transistor.
  8. Widjaja, Yuniarto; Han, Jin-Woo; Louie, Benjamin S., Memory device having electrically floating body transistor.
  9. Widjaja, Yuniarto; Han, Jin-Woo; Louie, Benjamin S., Memory device having electrically floating body transistor.
  10. Widjaja, Yuniarto; Han, Jin-Woo; Louie, Benjamin S., Memory device having electrically floating body transitor.
  11. Widjaja, Yuniarto; Or-Bach, Zvi, Method of maintaining the state of semiconductor memory having electrically floating body transistor.
  12. Walker, Darryl G., Package including a plurality of stacked semiconductor devices an interposer and interface connections.
  13. Walker, Darryl G., Package including a plurality of stacked semiconductor devices including a capacitance enhanced through via and method of manufacture.
  14. Walker, Darryl G., Package including a plurality of stacked semiconductor devices including a capacitance enhanced through via and method of manufacture.
  15. Walker, Darryl G., Package including a plurality of stacked semiconductor devices including a capacitance enhanced through via and method of manufacture.
  16. Walker, Darryl G., Package including a plurality of stacked semiconductor devices including a capacitance enhanced through via and method of manufacture.
  17. Walker, Darryl G., Package including a plurality of stacked semiconductor devices including a capacitance enhanced through via and method of manufacture.
  18. Walker, Darryl G., Package including a plurality of stacked semiconductor devices including a capacitance enhanced through via and method of manufacture.
  19. Walker, Darryl G., Package including a plurality of stacked semiconductor devices including a capacitance enhanced through via and method of manufacture.
  20. Walker, Darryl G., Package including a plurality of stacked semiconductor devices, an interposer and interface connections.
  21. Walker, Darryl G., Package including a plurality of stacked semiconductor devices, an interposer and interface connections.
  22. Walker, Darryl G., Package including a plurality of stacked semiconductor devices, an interposer and interface connections.
  23. Walker, Darryl G., Package including a plurality of stacked semiconductor devices, an interposer and interface connections.
  24. Widjaja, Yuniarto, Semiconductor device having electrically floating body transistor, semiconductor device having both volatile and non-volatile functionality and method of operating.
  25. Widjaja, Yuniarto, Semiconductor device having electrically floating body transistor, semiconductor device having both volatile and non-volatile functionality and method of operating.
  26. Widjaja, Yuniarto, Semiconductor device having electrically floating body transistor, semiconductor device having both volatile and non-volatile functionality and method of operating.
  27. Widjaja, Yuniarto, Semiconductor device having electrically floating body transistor, semiconductor device having both volatile and non-volatile functionality and method of operating.
  28. Widjaja, Yuniarto, Semiconductor device having electrically floating body transistor, semiconductor device having both volatile and non-volatile functionality and method of operating.
  29. Huang, Chun-Hsien; Kuo, Yu-Tse; Wang, Shu-Ru; Hung, Yu-Hsiang; Fu, Ssu-I; Hsu, Chih-Kai; Jenq, Jyh-Shyang, Static random access memory.
  30. Rabkin, Peter; Higashitani, Masaaki, Thin film transistor.
  31. Rabkin, Peter; Higashitani, Masaaki, Thin film transistor.
  32. Sleight, Jeffrey W.; Bangsaruntip, Sarunya, Two-step hydrogen annealing process for creating uniform non-planar semiconductor devices at aggressive pitch.
  33. Sleight, Jeffrey W.; Bangsaruntip, Sarunya, Two-step hydrogen annealing process for creating uniform non-planar semiconductor devices at aggressive pitch.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트