$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Optimizing layout of irregular structures in regular layout context 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G06F-017/50
  • G06F-009/455
출원번호 US-0481445 (2009-06-09)
등록번호 US-8448102 (2013-05-21)
발명자 / 주소
  • Kornachuk, Stephen
  • Lambert, Carole
  • Mali, James
  • Reed, Brian
  • Becker, Scott T.
출원인 / 주소
  • Tela Innovations, Inc.
대리인 / 주소
    Martine Penilla Group, LLP
인용정보 피인용 횟수 : 70  인용 특허 : 302

초록

Within a dynamic array architecture, an irregular wire layout region within a portion of a chip level layout is bracketed by placing first and second regular wire layout shapes on a first and second sides, respectively, of the irregular wire layout region. One or more irregular wire layout shapes ar

대표청구항

1. A method for placing irregular layout shapes in a dynamic array architecture, comprising: bracketing, by operating a computer, an irregular wire layout region within a portion of a chip level layout by placing a first regular wire layout shape on a first side of the irregular wire layout region a

이 특허에 인용된 특허 (302)

  1. Wu,Yan; Bi,Song; Bornemisza,Timothy, ATM header compression using hash tables.
  2. Côté, Michel Luc; Pierrat, Christophe; Hurat, Philippe, Accelerated layout processing using OPC pre-processing.
  3. White,David; Smith,Taber H., Adjustment of masks for integrated circuit fabrication.
  4. Scepanovic Ranko ; Koford James S. ; Andreev Alexander E.,RUX, Advanced modular cell placement system with overlap remover with minimal noise.
  5. Wang, Zhigang; Fallon, Elias; Colwell, Regis R., Analog layout module generator and method.
  6. Sezginer,Abdurrahman; Prasad,Roy, Apparatus and method for breaking up and merging polygons.
  7. Best David W. (Marion IA), Apparatus and method for providing a logical exclusive OR/exclusive NOR function.
  8. Sharma Balmukund ; Mahmood Mossaddeq ; Ginetti Arnold,FRX, Apparatus and method for synthesizing integrated circuits using parameterized HDL modules.
  9. Cirit, Mehmet A., Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries.
  10. Russell Philip J. (Alresford CA GBX) Weinert Glenwood S. (San Jose CA), Application generator for use in verifying a hierarchical circuit design.
  11. Gliese, Jörg; Kamp, Winfried; Köppe, Siegmar; Scheppler, Michael, Architecture of function blocks and wirings in a structured ASIC and configurable driver cell of a logic cell zone.
  12. Igarashi Mutsunori (Yokohama JPX) Kora Kaori (Yokohama JPX), Arrangement method for logic cells in semiconductor IC device.
  13. Wimer,Shmuel, Arrangements for automatic re-legging of transistors.
  14. Barnes,Levi D.; Melvin, III,Lawrence S.; Painter,Benjamin D., Assist feature placement using a process-sensitivity model.
  15. Orest Bula ; Daniel C. Cole ; Edward W. Conrad ; William C. Leipold, Auto correction of error checked simulated printed images.
  16. Lukas P. P. P. van Ginneken ; Raymond X. T. Nijssen ; Premal Buch, Automated design of parallel drive standard cells.
  17. Garofalo Joseph G. (South Orange NJ), Auxiliary mask features for enhancing the resolution of photolithography.
  18. Lyons, Fielder Stanton; Mears, Jeffrey A.; Weedon, Gene C.; Harding, Kenneth C.; Owen, Lisa; Russell, Peter Anthony; Mitchell, Joseph, Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape.
  19. Shaw Ching-Hao (Plano TX), Base cell for BiCMOS and CMOS gate arrays.
  20. Mariyama,Mitsuru; Nakajima,Satoshi, Bidirectional photothyristor chip, optical lighting coupler, and solid state relay.
  21. Sheng Teng Hsu, CMOS self-aligned strapped interconnection.
  22. Ichiryu,Miwa; Moriwaki,Toshiyuki; Toubou,Tetsurou, Cell, standard cell, standard cell library, a placement method using standard cell, and a semiconductor integrated circuit.
  23. Smith,Taber H.; Mehrotra,Vikas; White,David, Characterization and reduction of variation for integrated circuits.
  24. Luigi Capodieci ; Christopher A. Spence, Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion.
  25. White, David; Smith, Taber H., Characterization and verification for integrated circuit designs.
  26. White,David; Smith,Taber H., Characterization and verification for integrated circuit designs.
  27. Huang Hong-Yi,TWX, Charge-redistribution low-swing differential logic circuit.
  28. Cohn,John M.; Hibbeler,Jason; Stamper,Anthony K.; Rankin,Jed H., Circuit layout methodology using a shape processing application.
  29. Chang Ted (Mountain View CA), Circuit routing structure using fewer variable masks.
  30. Wigler Michael H. ; Colicelli John J., Cloning by complementation and related processes.
  31. Wigler Michael H. ; Colicelli John J., Cloning by complementation and related processes.
  32. Uya Masaru (Kadoma JPX), Complementary channel type MOS transistor exclusive OR/NOR logic gate circuit.
  33. Turnbull Robert S. (Sunnyvale CA), Computer-based method and system for product development.
  34. Ihme,David; Kemerling,James C.; Cox,William D., Configurable integrated circuit capacitor array using via mask layers.
  35. Mimoto Kenichiro,JPX ; Enkaku Motohiro,JPX ; Hojo Takehiko,JPX, Contact holes of a different pitch in an application specific integrated circuit.
  36. Osawa, Morimi; Minami, Takayoshi; Asai, Satoru, Creating method of photomask pattern data, photomask created by using the photomask pattern data, and manufacturing method of semiconductor apparatus using the photomask.
  37. Gonzalez Fernando, Cross coupled thin film transistors and static random access memory cell.
  38. Or-Bach, Zvi, Customizable and programmable cell array.
  39. Fang-Cheng Chang ; Yao-Ting Wang ; Yagyensh C. Pati, Data hierarchy layout correction and verification method and apparatus.
  40. C?t?, Michel L.; Pierrat, Christophe, Design data format and hierarchy management for phase processing.
  41. Igusa Mitsuru ; Chen Hsi-Chuan ; Chao Shiu-Ping ; Dai Wei-Jin ; Shyong Daw Yang, Design hierarchy-based placement.
  42. Thijs, Steven; Linten, Dimitri; Trémouilles, David Eric, Design methodology for MuGFET ESD protection devices.
  43. Fang-Cheng Chang ; Yao-Ting Wang ; Yagyensh C. Pati, Design rule checking system and method.
  44. Pierrat,Christophe; Wong,Alfred K., Design-manufacturing interface via a unified model.
  45. Keeth, Brent, Digitline architecture for dynamic memory.
  46. Pierrat, Christophe; Zhang, Youping, Dissection of printed edges from a fabrication layout for correcting proximity effects.
  47. Nawaz, Muhammad, Dual gate FinFET.
  48. Henning Haffner ; Heinz Hoenigschmid DE; Donald J. Samuels, Dummy feature reduction using optical proximity effect correction.
  49. Smith,Taber H.; Mehrotra,Vikas; White,David, Dummy fill for integrated circuits.
  50. Smith,Taber H.; Mehrotra,Vikas; White,David, Dummy fill for integrated circuits.
  51. Sinha,Subarnarekha; Luo,Jianfeng; Chiang,Charles C., Dummy filling technique for improved planarization of chip surface topography.
  52. Lu, Lee-Chung; Kuo, Chien-Chih; Li, Jian-Yi; Yang, Sheng-Jier, Dummy pattern design for reducing device performance drift.
  53. Becker, Scott T.; Smayling, Michael C., Dynamic array architecture.
  54. Becker,Scott T.; Smayling,Michael C., Dynamic array architecture.
  55. White, David; Smith, Taber H., Electronic design for integrated circuits based on process related variations.
  56. Ali Akhtar (Tucson AZ), Electronic devices for use in generating integrated circuit structures and method therefor.
  57. Schaper Leonard W., Electronic interconnection medium having offset electrical mesh plane.
  58. Dzung Joseph Tran ; Mark W. Acuff, Exclusive or/nor circuit.
  59. Yamazaki Hiroshi,JPX, Exclusive-or gate for use in delay using transmission gate circuitry.
  60. Liebmann Lars Wolfgang ; Sayah Robert Thomas ; Barth ; Jr. John Edward, Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction.
  61. Chang, Josephine B.; Guillorn, Michael A.; Haensch, Wilfried; Saenger, Katherine Lynn, Fin field effect transistor devices with self-aligned source and drain regions.
  62. Fried, David M.; Leipold, William C.; Nowak, Edward J., FinFET layout generation.
  63. Bernstein,Kerry; Nowak,Edward J.; Rainey,BethAnn, FinFET transistor and circuit.
  64. Wu Chi-Yung (Hsin-Chu TWX) Chen Ling (Sunnyvale CA) Peng Tong (Meow-Lee TWX), Fixed resistance high density parallel ROM device.
  65. Tomar, Anurag; Noice, Dave, Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout.
  66. Vanhaelemeersch,Serge; Kunnen,Eddy; Carbonell,Laure Elisa, Formation of deep via airgaps for three dimensional wafer to wafer interconnect.
  67. Gheewala, Tushar R.; Breid, Duane G.; Sherlekar, Deepak D.; Colwell, Michael J., Gate array architecture using elevated metal levels for customization.
  68. Gheewalla Tushar R., Gate array cell architecture and routing scheme.
  69. Bansal, Jai P., Gate array core cell for VLSI ASIC devices.
  70. Gupta,Puneet; Kahng,Andrew B, Gate-length biasing for digital circuit optimization.
  71. Lin, Chin-hsen, Generating an instance-based representation of a design hierarchy.
  72. Liebmann,Lars W.; Bukofsky,Scott J.; Graur,Ioana, Generating mask patterns for alternating phase-shift mask lithography.
  73. Shively, John, Hexadecagonal routing.
  74. Peng,Jack Zezhong, High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline.
  75. Pierrat, Christophe, High yield reticle with proximity effect halos.
  76. Pierrat Christophe ; Burdorf James, Inspection technique of photomask.
  77. Gheewala Tushar R., Integrated circuit cell architecture and routing scheme.
  78. Gheewala Tushar R., Integrated circuit cell architecture and routing scheme.
  79. Houston, Theodore W., Integrated circuit cells.
  80. Sahouria, Emile, Integrated circuit design correction using fragment correspondence.
  81. Chun Chan ; Tammy Huang ; Mike Liang, Integrated circuit design incorporating a power mesh.
  82. Wein Enno, Integrated circuit design with delayed cell selection.
  83. Or-Bach Zvi, Integrated circuit device.
  84. Wong Siu-Weng S. (Ithaca NY) Chen Devereaux C. (San Jose CA) Chiu Kuang-Yi (Los Altos Hills CA), Integrated circuit device having improved junction connections.
  85. Chang,Leland; Wong,Hon Sum Philip, Integrated circuit having gates and active regions forming a regular grating.
  86. Chang,Leland; Wong,Hon Sum Philip, Integrated circuit having gates and active regions forming a regular grating.
  87. Ikeda,Hiroshi, Integrated circuit layout device, method thereof and program thereof.
  88. Leigh C. Anderson ; Nicolas B. Cobb ; Laurence W. Grodd ; Emile Sahouria ; Siqiong You, Integrated verification and manufacturability tool.
  89. Iwaki,Takayuki, Interconnect layout method.
  90. Wu,Shao Po; Wang,Xin; Tang,Hongbo; Hung,Meg, Intermediate layout for resolution enhancement in semiconductor fabrication.
  91. William Wai-Yan Ho, Layer-based rule checking for an integrated circuit layout.
  92. Masakazu Tanaka JP; Masahiro Fukui JP, Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method.
  93. Matsumoto Nobu,JPX, Layout method for semiconductor integrated circuit and layout apparatus for semiconductor integrated circuit.
  94. Maeda,Jun, Layout method of semiconductor integrated circuit, layout structure thereof, and photomask for forming the layout structure.
  95. Toubou, Tetsurou, Layout structure of semiconductor device.
  96. Jigish D. Trivedi, Local interconnect structures and methods for making the same.
  97. Yamaguchi Akira,JPX, Logic cell having efficient optical proximity effect correction.
  98. Hirairi, Kouji, Logic circuit and full adder using the same.
  99. Sako Norimitsu,JPX, Logic circuit utilizing pass transistors and logic gate.
  100. Sanie, Michael; Pramanik, Dipankar; Lippincott, Susan Jennifer, Mask cost driven logic optimization and synthesis.
  101. Chen Jang F. (San Jose CA) Matthews James A. (Milpitas CA), Mask for photolithography.
  102. Pierrat, Christophe; Zhang, Youping, Mask product made by selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabricat layout.
  103. Tanizawa Tetsu (Kawasaki JPX), Master slice IC device.
  104. Hollis,Paul W.; Lattimore,George M.; Rutledge,Matthew B., Memory access system and method using de-coupled read and write circuits.
  105. Andreev Alexander ; Pavisic Ivan ; Raspopovic Pedja, Metal layer assignment.
  106. Mohan, Sunderarjan; Shen, Xiling, Method and apparatus for automatic layout of circuit structures.
  107. Shinomiya Noriko,JPX ; Toyonaga Masahiko,JPX ; Fukui Masahiro,JPX ; Akino Toshiro,JPX, Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce.
  108. Sridhar Ramalingam ; Xuguang Zhang, Method and apparatus for designing circuits for wave pipelining.
  109. Shibuya,Toshiyuki, Method and apparatus for designing integrated circuit enabling the yield of integrated circuit to be improved by considering random errors.
  110. Corbin ; II Ludlow V. (Seattle WA) Danielson Steven G. (Seattle WA) Oettel Richard E. (Bellevue WA) Rossman Mark E. (Redmond WA) Thiele James E. (Seattle WA), Method and apparatus for designing integrated circuits.
  111. Upton Michael D. (Seattle WA) Rossman Thomas F. (Kirkland WA) Frazier Dean P. (Bellevue WA) Fuller Jay S. (Issaquah WA) Russell Kendall C. (Issaquah WA), Method and apparatus for designing the layout of a subcircuit in an integrated circuit.
  112. Chen,Hsien Wei; Tsai,Hao Yi; Chen,Hsueh Chung; Jeng,Shin Puu; Lin,Jian Hong; Lin,Chih Tao; Hsu,Shih Hsun, Method and apparatus for enhanced CMP planarization using surrounded dummy design.
  113. McSherry Michael C. ; Strobel Richard E. ; Todd Robert A. ; Nguyen Paul M., Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design.
  114. Côté, Michel Luc; Hurat, Philippe; Pierrat, Christophe, Method and apparatus for facilitating process-compliant layout optimization.
  115. Kojima Shuichi,JPX, Method and apparatus for generating finite element meshes, and analyzing method and apparatus.
  116. Capodieci, Luigi; Torres Robles, Juan Andres; Van Os, Lodewijk Hubertus, Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques.
  117. Wu,James Xiaqing, Method and apparatus for identifying line-end features for lithography verification.
  118. Dooling,Daria R.; Settlemyer, Jr.,Kenneth T.; Smolinski,Jacek G.; Thomas,Stephen D.; Williams,Ralph J., Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data.
  119. Melvin, III,Lawrence S., Method and apparatus for placing assist features by identifying locations of constructive and destructive interference.
  120. Pindo, Massimiliano, Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process.
  121. Zhang, Youping, Method and apparatus for reducing optical proximity correction output file size.
  122. Kerzman, Joseph Peter; Rezek, James Edward, Method and apparatus for selecting and aligning cells using a placement tool.
  123. Bendik, Joseph J.; Hankinson, Matt, Method and apparatus for the production of process sensitive lithographic features.
  124. Sezginer,Abdurrahman, Method and apparatus of model-based photomask synthesis.
  125. Ludwig, Burkhard; Mueller, Uwe, Method and device for classifying cells in a layout into a same environment and their use for checking the layout of an electronic circuit.
  126. Pileggi,Lawrence T.; Strojwas,Andrzej J.; Lanza,Lucio L., Method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features.
  127. Andreev,Alexandre; Pavisic,Ivan; Ivanovic,Lav, Method and system for classifying an integrated circuit for optical proximity correction.
  128. Pack,Robert C.; Scheffer,Louis K., Method and system for context-specific mask inspection.
  129. Chang, Keh-Jeng; Chang, Li-Fu; Mathews, Robert G.; Walker, Martin G., Method and system for extraction of parasitic interconnect impedance including inductance.
  130. Ho Wai-Yan ; Tang Hongbo, Method and system for layout verification of an integrated circuit design with reusable subdesigns.
  131. Kamat,Vishnu Govind, Method and system for managing design corrections for optical and process effects based on feature tolerances.
  132. Govil, Pradeep Kumar; Tsacoyeanes, James, Method and system for selective linewidth optimization during a lithographic process.
  133. Bhattacharya,Debashis; Boppana,Vamsi; Roy,Rabindra; Roy,Jayanta, Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks.
  134. Bakarian, Sergei; Segal, Julie, Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design.
  135. Sezginer, Abdurrahman; Yenikaya, Bayram, Method for checking printability of a lithography target.
  136. Crouse, James V.; Lowe, Terry M.; Miao, Limin; Montstream, James R.; Vogl, Norbert; Wyckoff, Colleen A., Method for comprehensively verifying design rule checking runsets.
  137. Kahng,Andrew B.; Gupta,Puneet; Sylvester,Dennis; Yang,Jie, Method for correcting a mask design layout.
  138. Tanaka, Masakazu; Fukui, Masahiro, Method for design of partial circuit.
  139. Ponnapalli Saila (Dutchess County NY) Soyuer Mehmet (Westchester County NY) Ewen John F. (Westchester County NY), Method for designing high-Q inductors in silicon technology without expensive metalization.
  140. Amy A. Winder ; Werner Juengling, Method for designing photolithographic reticle layout, reticle, and photolithographic process.
  141. Winder, Amy A.; Juengling, Werner, Method for designing photolithographic reticle layout, reticle, and photolithographic process.
  142. Sandhu,Sukesh; Sandhu,Gurtej S., Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus.
  143. Cui,Yuping; Mansfield,Scott M., Method for improving optical proximity correction.
  144. Hsu Sheng Teng, Method for manufacturing a CMOS self-aligned strapped interconnection.
  145. Bodendorf, Christof Tilmann; Thiele, Jörg, Method for optimizing and method for producing a layout for a mask, preferably for use in semiconductor production, and computer program therefor.
  146. Misaka, Akio; Odanaka, Shinji, Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI.
  147. Misaka,Akio; Odanaka,Shinji, Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI.
  148. Fujimaga Masato (Hyogo JPX), Method for predicting the three-dimensional topography of surfaces of semiconductor devices after reflow processing.
  149. Semmler,Armin, Method for producing a mask layout avoiding imaging errors for a mask.
  150. Wang,Hsin Shih; Shieh,Shang Jyh; Ku,Ming Hsin, Method for programming a routing layout design through one via layer.
  151. Ramaswamy, S. Ram; Alcorn, Charles N.; Brown, III, Arnett J.; Butts, Tatia E., Method for providing a fill pattern for an integrated circuit design.
  152. Kokubun,Tetsuya, Method for providing layout design and photo mask.
  153. Van Ginneken, Lukas P. P. P.; Groeneveld, Patrick R.; Philipsen, Wilhelmus J. M., Method for storing multiple levels of design data in a common database.
  154. Baba Ali,Nabila, Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems.
  155. Goldbach,Matthias; Hecht,Thomas; L체tzen,J철rn; Sell,Bernhard, Method for the production of a self-adjusted structure on a semiconductor wafer.
  156. Williams,Patrick M.; Cho,Ee K.; Hathaway,David J.; Hsu,Mei Ting; Lange,Lawrence K.; Northrop,Gregory A.; Visweswariah,Chandramouli; Washburn,Cindy ShuiKing; Zhou,Jun, Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices.
  157. DeCamp William Frantz ; Earl Laurice Thorsen ; Minahan Jason Steven ; Montstream James Robert ; Nickel Daniel John ; Oler ; Jr. Joseph James ; Williams Richard Quimby, Method for verifying design rule checking software.
  158. Gupta,Puneet; Heng,Fook Luen; Lavin,Mark A., Method of IC fabrication, IC mask fabrication and program product therefor.
  159. Chao Ying-Chen,TWX ; Chen Chia-Hsiang,TWX ; Sheu Jhy-Sheng,TWX, Method of automatic dummy layout generation.
  160. Watanabe, Susumu, Method of designing integrated circuit and apparatus for designing integrated circuit.
  161. Chen J. Fung ; Wampler Kurt E. ; Laidig Tom, Method of fine feature edge tuning with optically-halftoned mask.
  162. Hui, Angela T.; Singh, Bhanwar, Method of forming smaller contact size using a spacer hard mask.
  163. Zhang,Guohong; O'Brien,Sean, Method of locating sub-resolution assist feature(s).
  164. Kobayashi, Kazuhiko; Miyazaki, Kou, Method of manufacturing semiconductor integrated circuit device.
  165. Yelehanka, Pradeep; Chen, Tong Qing; Han, Zhi Yong; Zheng, Zhen Jia; Ong, Kelvin; Gu, Tian Hao; Cheah, Syn Kean, Method of manufacturing semiconductor local interconnect and contact.
  166. Samuels, Donald J., Method of optical proximity correction with sub-resolution assists.
  167. Charlebois,Steven E.; Dunn,Paul E.; Rohrbaugh, III,George W., Method of optimizing customizable filler cells in an integrated circuit physical design process.
  168. Burstein, Michael; Ginzburg, Boris, Method of placing and routing for power optimization and timing closure.
  169. Reyes Alberto J. ; Snyder Daniel J. ; Chamoun Sleiman N. ; Ramondetta Karen S., Method of selecting device threshold voltages for high speed and low power.
  170. Kotani,Toshiya; Tanaka,Satoshi; Hashimoto,Koji; Inoue,Soichi; Mori,Ichiro, Method of setting process parameter and method of setting process parameter and/or design rule.
  171. Laidig,Thomas; Chen,Jang Fung; Shi,Xuelong; Schlief,Ralph; Hollerbach,Uwe; Wampler,Kurt E., Method of two dimensional feature model calibration and optimization.
  172. Armbrust, Douglas S.; Martin, Dale W.; Rankin, Jed H.; Tousley, Sylvia, Method to define and tailor process limited lithographic features using a modified hard mask process.
  173. Liebmann, Lars W.; Mansfield, Scott; Wong, Alfred K., Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions.
  174. Chou,Shih Wei; Tsai,Ming Hsing; Lin,Ming Wei, Method to improve planarity of electroplated copper.
  175. Shin,Jaw Jung; Ke,Chih Ming; Lin,Burn Jeng, Method to reduce CD non-uniformity in IC manufacturing.
  176. Kahng, Andrew B.; Park, Chul-Hong, Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction.
  177. Visweswariah,Chandramouli; Xiong,Jinjun; Zolotov,Vladimir, Method, system, and program product for computing a yield gradient from statistical timing.
  178. Chuang, Harry; Chang, Victor C. Y.; Chen, Yung-Shun; Hou, Shang Y., Methodology to characterize metal sheet resistance of copper damascene process.
  179. Rahmat,Khalid; McElvain,Kenneth S., Methods and apparatuses for thermal analysis based circuit design.
  180. Reed, Brian; Smayling, Michael C.; Hong, Joseph N.; Fairbanks, Stephen; Becker, Scott T., Methods for defining and utilizing sub-resolution features in linear topology.
  181. Becker, Scott T.; Smayling, Michael C., Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same.
  182. Becker, Scott T.; Smayling, Michael C., Methods for designing semiconductor device with dynamic array section.
  183. Dhrumil Gandhi, Methods for designing standard cell transistor structures.
  184. Rueckes,Thomas; Segal,Brent M., Methods of nanotubes films and articles.
  185. Maziasz Robert L. ; Guruswamy Mohankumar ; Raman Srilata, Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors.
  186. Allen,Robert J.; Gray,Michael S.; Heng,Fook Luen; Hibbeler,Jason D.; McCullen,Kevin W.; Narayan,Rani R.; Walker,Robert F.; Yuan,Xin, Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs.
  187. Capodieci Luigi, Modification of mask layout data to improve writeability of OPC.
  188. Dellinger, Eric, Modular array defined by standard cell logic.
  189. Park,Young Jin; Mueller,Gerhard, Multi-level conductive lines with reduced pitch.
  190. Dixit,Abhisek; De Meyer,Kristin, Multiple gate semiconductor device and method for forming same.
  191. Sani, Mehdi Hamidi; Uvieghara, Gregory A., Non-volatile multi-threshold CMOS latch with leakage control.
  192. Sira G. Sudhindranath ; Anand Sethuraman, Off-grid metal layer utilization.
  193. Sudhindranath Sira G. ; Sethuraman Anand, Off-grid metal layer utilization.
  194. Reich Alfred J. ; Lucas Kevin D. ; Kling Michael E. ; Grobman Warren D. ; Roman Bernard J., One dimensional lithographic proximity correction using DRC shape functions.
  195. Zach, Franz Xaver, Optical lithography correction process.
  196. Hsu Tzu-Jeng,TWX, Optical proximity correction (OPC) method for improving lithography process window.
  197. Yu, Shinn-Sheng, Optical proximity correction common process window maximization over varying feature pitch.
  198. Garza Mario ; Jensen John V. ; Eib Nicholas K. ; Chao Keith K., Optical proximity correction method and apparatus.
  199. Eaton, Frederik; Beerel, Peter, Optimization of cell subtypes in a hierarchical design flow.
  200. de Dood,Paul; Lee,Brian; Albers,Daniel, Optimization of circuit designs using a continuous spectrum of library cells.
  201. Cadouri, Eitan, Optimization of die placement on wafers.
  202. Mori,Kenji; Nakajima,Takashi, Optimizing designing apparatus of integrated circuit, optimizing designing method of integrated circuit, and storing medium in which program for carrying out optimizing designing method of integrated.
  203. Takashima, Makoto; Ikeuchi, Atsuhiko; Hashimoto, Koji; Igarashi, Mutsunori; Yamada, Masaaki, Pattern correction method, apparatus, and program.
  204. Garza Mario ; Eib Nicholas K. ; Jensen John V. ; Chao Keith K., Performing optical proximity correction with the aid of design rule checkers.
  205. Garza Mario ; Eib Nicholas K. ; Jensen John V. ; Chao Keith K., Performing optical proximity correction with the aid of design rule checkers.
  206. Orbach Zvi (Haifa ILX), Personalizable gate array devices.
  207. Pierrat,Christophe, Phase shift masking for complex patterns with proximity adjustments.
  208. Wang Yao-Ting ; Pati Yagyensh C., Phase shifting circuit manufacture method and apparatus.
  209. Rittman, Danny; Oren, Micha, Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same.
  210. Rittman, Danny; Oren, Micha, Photomask for reducing power supply voltage fluctuations in an integrated circuit and integrated circuit manufactured with the same.
  211. Reed,Jeffrey B.; Blomgren,James S.; Glowka,Donald W.; Olson,Timothy A.; Rudwick,Thomas W., Physical realization of dynamic logic using parameterized tile partitioning.
  212. Chu, Wei-Mun; Gouravaram, Sudhakar R.; Nguyen, Son, Placement and routing of circuits using a combined processing/buffer cell.
  213. Dasasathyan, Srinivasan; Stenz, Guenter; Nag, Sudip K., Placement of clock objects under constraints.
  214. Gordon,Ronald L.; Graur,Ioana C.; Liebmann,Lars W., Pliant SRAF for improved performance and manufacturability.
  215. Kapur Rajiv, Poly routing for chip interconnects with minimal impact on chip performance.
  216. Donze,Richard Lee; Hovis,William Paul; Kueper,Terrance Wayne; Sheets, II,John Edward; Tetzloff,Jon Robert, Polysilicon conductor width measurement for 3-dimensional FETs.
  217. Hsu Louis Lu-Chen ; Mandelman Jack Allan ; Assaderaghi Fariborz, Process for forming a memory structure that includes NVRAM, DRAM, and/or SRAM memory structures on one substrate and process for forming a new NVRAM cell structure.
  218. Groover ; III Robert (Dallas TX) Haken Roger A. (Richardson TX) Holloway Thomas C. (Dallas TX), Process for making integrated circuits having titanium nitride triple interconnect.
  219. Huang Heng S. (Taipei TWX), Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implan.
  220. Ferguson, Richard A.; Lavin, Mark A.; Liebmann, Lars W.; Wong, Alfred K., Process window based optical proximity correction of lithographic images.
  221. Pileggi, Larry; Schmit, Herman, Programmable gate array based on configurable metal interconnect vias.
  222. Sirna Antonino,ITX, Protein from urine named component B.
  223. Jacques,Etienne; Kronmiller,Tom, Removal of acute angles in a design layout.
  224. Zhou, Wen Zhan; Yu, Jin; See, Kai Hung Alex, Reticle and optical proximity correction method.
  225. Lin Chin-Lung,TWX, Reticle having assist feature between semi-dense lines.
  226. Tushar R. Gheewala ; Henry H. Yang, Routing driven, metal programmable integrated circuit architecture with multiple types of core cells.
  227. Kumagai Kouichi,JPX, SOI IGFETs having raised integration level.
  228. Hsu, Chien Ping, Scattering bar OPC application method for mask ESD prevention.
  229. Pramanik, Dipankar; Sanie, Michael, Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits.
  230. Chang, Peter L. D.; Doyle, Brian S., Self-aligned contacts for transistors.
  231. Caterer Michael Dean ; Daubenspeck Timothy Harrison ; Ference Thomas George ; Sprogis Edmund Juris, Self-aligned pattern over a reflective layer.
  232. Kariyazaki, Shuuichi, Semiconductor device.
  233. Or Bach,Zvi; Cooke,Laurence; Apostol,Adrian; Iacobut,Romeo, Semiconductor device.
  234. Or-Bach Zvi ; Cox Bill Douglas, Semiconductor device.
  235. Tanaka, Takuji, Semiconductor device.
  236. Uehara Takao (Tokyo JPX) Tsuchimoto Takamitsu (Machida JPX) Hamada Katsuyuki (Kawasaki JPX) Masuzawa Hideo (Tokyo JPX) Mukai Makoto (Hino JPX), Semiconductor device.
  237. Zvi Or-Bach ; Bill Douglas Cox, Semiconductor device.
  238. Yamamoto, Jiro; Murai, Fumio; Terasawa, Tsuneo; Yamamoto, Tosiyuki, Semiconductor device and a manufacturing method of the same.
  239. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts having transistors formed from linear conductive segment with non-active neighboring linear conductive segment.
  240. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers.
  241. Yamaguchi Akira,JPX, Semiconductor device and manufacturing method for the same, basic cell library and manufacturing method for the same, and mask.
  242. Yuichiro Egi JP, Semiconductor device and method for the manufacture thereof.
  243. Matsumoto, Akira; Fukase, Tadashi; Iguchi, Manabu, Semiconductor device and method of fabricating semiconductor device with high CMP uniformity and resistance to loss that occurs in dicing.
  244. Shibayama, Akinori, Semiconductor device and semiconductor memory device.
  245. Makino, Hiroshi, Semiconductor device cell having regularly sized and arranged features.
  246. Scott M. Mansfield ; Lars W. Liebmann ; Shahid Butt ; Henning Haffner, Semiconductor device fabrication using a photomask with assist features.
  247. Becker, Scott T.; Smayling, Michael C., Semiconductor device having at least three linear-shaped electrode level conductive features of equal length positioned side-by-side at equal pitch.
  248. Or-Bach, Zvi; Cooke, Laurance, Semiconductor device having borderless logic array and flexible I/O.
  249. Inaba, Satoshi, Semiconductor device including n-type and p-type FinFET's constituting an inverter structure.
  250. Becker, Scott T.; Smayling, Michael C., Semiconductor device with dynamic array section.
  251. Houston, Theodore W.; Joyner, Keith A., Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device.
  252. Sakemi Kazuhiro,JPX ; Kikuda Shigeru,JPX ; Kawasaki Satoshi,JPX, Semiconductor device with improved noise resistivity.
  253. Kinoshita,Koichi, Semiconductor integrated circuit.
  254. Takayama, Kazuhisa, Semiconductor integrated circuit and semiconductor integrated circuit wiring layout method.
  255. Kinoshita, Eita; Mizuno, Makoto, Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same.
  256. Kawamata,Tsuneo; Hasegawa,Masatoshi; Toriyama,Keinosuke; Hokari,Tomofumi, Semiconductor integrated circuit device.
  257. Yuyama Kyoji (Shiroyama JPX) Kawaji Mikinori (Hino JPX), Semiconductor integrated circuit device with improved connection pattern of signal wirings.
  258. Igarashi Mutsunori,JPX ; Mitsuhashi Takashi,JPX ; Murakata Masami,JPX ; Yamada Masaaki,JPX ; Minami Fumihiro,JPX ; Akiyama Toshihiro,JPX ; Aoki Takahiro,JPX, Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method.
  259. Ghandehari,Kouros; Yang,Jean Y.; Spence,Christopher A., Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types.
  260. Tsuyoshi Yanai JP; Yoshio Kajii JP; Takashi Ohkawa JP, Semiconductor memory device.
  261. Nakase, Yasunobu; Nii, Koji, Semiconductor memory device capable of generating internal data read timing precisely.
  262. Naya, Hidemitsu; Tomiyoshi, Rikio; Moriyama, Shigeo; Kikuchi, Mutsumi; Shimamura, Kotaro, Semiconductor production system.
  263. Naya, Hidemitsu; Tomiyoshi, Rikio; Moriyama, Shigeo; Kikuchi, Mutsumi; Shimamura, Kotaro, Semiconductor production system.
  264. Wewalaarachchi, Bandu J.; Gunasekera, M. V. Priyantha; Gunasingham, Haritharan; Liyanarachchi, Rohana, Service-oriented community agent.
  265. Falbo,James K.; Malhotra,Vinod K.; Balasingam,Pratheep; Zulch,Donald, Shape-based geometry engine to perform smoothing and other layout beautification operations.
  266. Cote,Michel; Rieger,Michael; Hurat,Philippe; Lugg,Robert; Mayhew,Jeff, Silicon tolerance specification using shapes as design intent markers.
  267. Boluki, Human; Mbouombouo, Benjamin; Leyrer, Johann, Split and merge design flow concept for fast turnaround time of circuit layout design.
  268. Katsioulas, Athanassios; Chow, Stan; Avidan, Jacob; Fotakis, Dimitris, Standard block architecture for integrated circuit design.
  269. C척t챕,Michel L.; Pierrat,Christophe, Standard cell design incorporating phase information.
  270. Zhang,Xiaonan; Wang,Michael Xiaonan, Standard cell library having cell drive strengths selected according to delay.
  271. Zhang,Xiaonan, Standard cell library having globally scalable transistor channel length.
  272. Yang, Lie-Yong; Chang, Feng-Ming; Yang, Chang-Ta; Wang, Ping-Wei, Static random access memory (SRAM) cell and method for forming same.
  273. Chanda,Kaushik; Agarwala,Birendra; Clevenger,Lawrence A.; Cowley,Andrew P.; Filippi,Ronald G.; Gill,Jason P.; Lee,Tom C.; Li,Baozhen; McLaughlin,Paul S.; Nguyen,Du B.; Rathore,Hazara S.; Sullivan,Timothy D.; Yang,Chih Chao, Structure and method for monitoring stress-induced degradation of conductive interconnects.
  274. Or Bach, Zvi; Wurman, Ze'ev; Levinthal, Adam, Structured integrated circuit device.
  275. Wallace, Charles H.; Nyhus, Paul A.; Sivakumar, Swaminathan (Sam), Sub-resolution assist features.
  276. Wallace, Charles H.; Jang, Chiou hung, Sub-resolution assist features for photolithography with trim ends.
  277. Anderson,Brent A.; Breitwisch,Matthew J.; Nowak,Edward J., Substrate backgate for trigate FET.
  278. Singh, Balvinder; O'Riordan, Donald; Arsintescu, Bogdan George; Goel, Alka; Deshpande, Devendra Ramakant, System and method for circuit schematic generation.
  279. Rittman, Danny; Oren, Micha, System and method for generating a mask layout file to reduce power supply voltage fluctuations in an integrated circuit.
  280. Khakzadi,Khosro; Tremel,Chris J.; Dillon,Michael N., System and method for mapping logical components to physical locations in an integrated circuit design environment.
  281. Pasch Nicholas (Pacifica CA) Eib Nicholas (San Jose CA) Dong Jeffrey (Milpitas CA), System and method for performing optical proximity correction on macrocell libraries.
  282. Nicholas F. Pasch ; Nicholas K. Eib ; Colin D. Yates ; Shumay Dou, System and method for performing optical proximity correction on the interface between optical proximity corrected cells.
  283. Stine, Brian E.; Hess, Christopher; Weiland, Larg H.; Ciplickas, Dennis J.; Kibarian, John, System and method for product yield prediction using a logic characterization vehicle.
  284. Stine, Brian E.; Stashower, David M.; Lee, Sherry F.; Weiner, Kurt H., System and method for product yield prediction using device and process neighborhood characterization vehicle.
  285. Chew, Marko P.; Yang, Yue, System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells.
  286. Kroyan, Armen; Zhang, Youping; Morita, Etsuya; Ligtenberg, Adrianus, System for designing integrated circuits with enhanced manufacturability.
  287. Arnold Ginetti,FRX ; Silve Francois,FRX ; Raj Satish, System to fix post-layout timing and design rules violations.
  288. Kriplani,Harish; Huang,Shiang Tang, Systems, methods, and apparatus to perform statistical static timing analysis.
  289. Rana,Amar Pal Singh; Singh,Nirmal, Technology dependent transformations for CMOS in digital design synthesis.
  290. Allen,Robert J.; Endicott,Cam V.; Heng,Fook Luen; Hibbeler,Jason D.; McCullen,Kevin W.; Narayan,Rani; Walker,Robert F.; Yuan,Xin, Technology migration for integrated circuits with radical design restrictions.
  291. White,David; Smith,Taber H., Test masks for lithographic and etch processes.
  292. Komaki,Masaki, Unit cell of semiconductor integrated circuit and wiring method and wiring program using unit cell.
  293. Pillarisetty, Ravi; Datta, Suman; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday, Unity beta ratio tri-gate transistor static random access memory (SRAM).
  294. Smith,Taber H.; Mehrotra,Vikas; White,David, Use of models in integrated circuit fabrication.
  295. Buehler,Markus T.; Cohn,John M.; Hathaway,David J.; Hibbeler,Jason D.; Koehl,Juergen, Use of redundant routes to increase the yield and reliability of a VLSI layout.
  296. Hsieh, Ren-Guey, Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity.
  297. Kemerling,James C.; Ihme,David; Cox,William D, VIA configurable architecture for customization of analog circuitry in a semiconductor device.
  298. Tang, Xiaoping; Yuan, Xin, VLSI artwork legalization for hierarchical designs with multiple grid constraints.
  299. Kusunoki Mitsugu,JPX ; Tamba Nobuo,JPX, Variable logic integrated circuit device having connections through switch matrix and top layers for inter-cell connecti.
  300. Chang Hsueh-Rong (Scotia NY) Baliga Bantval J. (Schenectady NY) Chow Tat-Sing P. (Schenectady NY), Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method.
  301. Chen,Jong, Via layout with via groups placed in interlocked arrangement.
  302. Templeton, Mark; Gandhi, Dhrumil, Yield maximization in the manufacture of integrated circuits.

이 특허를 인용한 특허 (70)

  1. Philip, Joji; Kumar, Sailesh; Norige, Eric; Hassan, Mahmud; Mitra, Sundari, Asymmetric mesh NoC topologies.
  2. Philip, Joji; Kumar, Sailesh; Norige, Eric; Hassan, Mahmud; Mitra, Sundari, Asymmetric mesh NoC topologies.
  3. Kumar, Sailesh; Patankar, Amit; Norige, Eric, Automatic NoC topology generation.
  4. Kumar, Sailesh, Automatic buffer sizing for optimal network-on-chip design.
  5. Kumar, Sailesh, Automatic buffer sizing for optimal network-on-chip design.
  6. Kumar, Sailesh, Automatic buffer sizing for optimal network-on-chip design.
  7. Philip, Joji; Kumar, Sailesh; Norige, Eric; Hassan, Mahmud; Mitra, Sundari, Automatic construction of deadlock free interconnects.
  8. Kumar, Sailesh; Norige, Eric; Philip, Joji; Hassan, Mahmud; Mitra, Sundari; Rowlands, Joseph, Automatic deadlock detection and avoidance in a system interconnect by capturing internal dependencies of IP cores using high level specification.
  9. Norige, Eric; Kumar, Sailesh, Automatic generation of physically aware aggregation/distribution networks.
  10. Gangwar, Anup; Pusuluri, Vishnu Mohan; Kongetira, Poonacha; Kumar, Sailesh, Automatic generation of power management sequence in a SoC or NoC.
  11. Kumar, Sailesh, Automatic pipelining of NoC channels to meet timing and/or performance.
  12. Kumar, Sailesh, Automatic pipelining of NoC channels to meet timing and/or performance.
  13. Kumar, Sailesh, Automatic pipelining of NoC channels to meet timing and/or performance.
  14. Kumar, Sailesh; Norige, Eric; Raponi, Pier Giorgio, Automatic power domain and voltage domain assignment to system-on-chip agents and network-on-chip elements.
  15. Norige, Eric; Rao, Nishant; Kumar, Sailesh, Buffer sizing of a NoC through machine learning.
  16. Kumar, Sailesh; Das, Sandip; Kongetira, Poonacha, Clock gating for system-on-chip elements.
  17. Kumar, Sailesh; Das, Sandip; Kongetira, Poonacha, Clock gating for system-on-chip elements.
  18. Philip, Joji; Kumar, Sailesh; Rowlands, Joe, Combining associativity and cuckoo hashing.
  19. Philip, Joji; Kumar, Sailesh, Configurable router for a network on chip (NoC).
  20. Kumar, Sailesh; Norige, Eric, Congestion control and QoS in NoC by regulating the injection traffic.
  21. Kumar, Sailesh; Norige, Eric; Philip, Joji; Hassan, Mahmud; Mitra, Sundari; Rowlands, Joseph, Creating multiple NoC layers for isolation or avoiding NoC traffic congestion.
  22. Philip, Joji; Kumar, Sailesh, Dynamically configuring store-and-forward channels and cut-through channels in a network-on-chip.
  23. Raponi, Pier Giorgio; Rao, Nishant; Kumar, Sailesh, Extracting features from a NoC for machine learning construction.
  24. Chopra, Rajesh; Lin, Yang-Trung; Kumar, Sailesh, Generating physically aware network-on-chip design from a physical system-on-chip specification.
  25. Raponi, Pier Giorgio; Norige, Eric; Kumar, Sailesh, Generation of network-on-chip layout based on user specified topological constraints.
  26. Raponi, Pier Giorgio; Norige, Eric; Kumar, Sailesh, Generation of network-on-chip layout based on user specified topological constraints.
  27. Kaushal, Rimu; Gangwar, Anup; Pusuluri, Vishnu Mohan; Kumar, Sailesh, Hardware and software enabled implementation of power profile management instructions in system on chip.
  28. Kaushal, Rimu; Gangwar, Anup; Pusuluri, Vishnu Mohan; Kumar, Sailesh, Hardware and software enabled implementation of power profile management instructions in system on chip.
  29. Norige, Eric; Kumar, Sailesh, Heterogeneous SoC IP core placement in an interconnect to optimize latency and interconnect performance.
  30. Kumar, Sailesh; Philip, Joji; Norige, Eric; Hassan, Mahmud; Mitra, Sundari, Heterogeneous channel capacities in an interconnect.
  31. Kumar, Sailesh; Norige, Eric; Philip, Joji; Hassan, Mahmud; Mitra, Sundari; Rowlands, Joseph, Hierarchical asymmetric mesh with virtual routers.
  32. Kumar, Sailesh; Norige, Eric; Philip, Joji; Hassan, Mahmud; Mitra, Sundari; Rowlands, Joseph, Hierarchical asymmetric mesh with virtual routers.
  33. Kumar, Sailesh; Norige, Eric; Philip, Joji; Rowlands, Joseph, Identification of internal dependencies within system components for evaluating potential protocol level deadlocks.
  34. Yoshizumi, Takayuki, Information processing apparatus for discriminating between combined results of plurality of elements, program product and method for same.
  35. Kumar, Sailesh, Integrated NoC for performing data communication and NoC functions.
  36. Kumar, Sailesh, Integrated NoC for performing data communication and NoC functions.
  37. Qian, Qi-De, Integrated circuits having in-situ constraints.
  38. Yu, Jue-Chin; Hsieh, Lun; Chen, Pi-Tsung; Chou, Shuo-Yen; Liu, Ru-Gun, Method for integrated circuit mask patterning.
  39. Powell, Giles V.; Arkhipov, Alexandre; Ruehl, Roland; Sharma, Karun, Methods, systems, and computer program product for a bottom-up electronic design implementation flow and track pattern definition for multiple-patterning lithographic techniques.
  40. Ruehl, Roland; Arkhipov, Alexandre; Powell, Giles V.; Sharma, Karun, Methods, systems, and computer program product for implementing DRC clean multi-patterning process nodes with lateral fills in electronic designs.
  41. Arkhipov, Alexandre; Powell, Giles V.; Ruehl, Roland; Sharma, Karun, Methods, systems, and computer program product for implementing DRC clean multi-patterning process nodes with parallel fills in electronic designs.
  42. Hamouda, Ayman, Model-based generation of dummy features.
  43. Philip, Joji; Rowlands, Joseph; Kumar, Sailesh, Multiple clock domains in NoC.
  44. Kumar, Sailesh; Norige, Eric, Multiple heterogeneous NoC layers.
  45. Kumar, Sailesh, QoS in a system with end-to-end flow control and QoS aware buffer allocation.
  46. Kumar, Sailesh, QoS in a system with end-to-end flow control and QoS aware buffer allocation.
  47. Kumar, Sailesh, QoS in a system with end-to-end flow control and QoS aware buffer allocation.
  48. Kumar, Sailesh; Norige, Eric; Philip, Joji; Hassan, Mahmud; Mitra, Sundari; Rowlands, Joseph, QoS in heterogeneous NoC by assigning weights to NoC node channels and using weighted arbitration at NoC nodes.
  49. Kumar, Sailesh; Norige, Eric, Reconfigurable NoC for customizing traffic and optimizing performance after NoC synthesis.
  50. Rowlands, Joe; Kumar, Sailesh, Reuse of directory entries for holding state information through use of multiple formats.
  51. Hendrick, Chaya Coleena, Smart card.
  52. Beals, William Michael; Goupil, Hervé, Smart card interface.
  53. Beals, William Michael; Goupil, Hervé, Smart card interface.
  54. Beals, William Michael; Goupil, Hervé, Smart card interface.
  55. Beals, William Michael; Goupil, Hervé, Smart card interface.
  56. Beals, William Michael; Goupil, Hervé, Smart card interface.
  57. Hendrick, Chaya Coleena, Smart card with a fingerprint sensor.
  58. Gangwar, Anup; Pusuluri, Vishnu Mohan; Kongetira, Poonacha; Kumar, Sailesh, Specification for automatic power management of network-on-chip and system-on-chip.
  59. Chopra, Rajesh; Kumar, Sailesh, Streaming bridge design with host interfaces and network on chip (NoC) layers.
  60. Chopra, Rajesh; Kumar, Sailesh, Streaming bridge design with host interfaces and network on chip (NoC) layers.
  61. Kumar, Sailesh; Norige, Eric; Rowlands, Joe; Philip, Joji, Supporting multicast in NOC interconnect.
  62. Rowlands, Joe; Kumar, Sailesh, System and method for improving snoop performance.
  63. Raponi, Pier Giorgio; Kumar, Sailesh; Norige, Eric, System and method for visualization of NoC performance based on simulation output.
  64. Kumar, Sailesh; Patankar, Amit; Norige, Eric, System level simulation in network on chip architecture.
  65. Kumar, Sailesh; Norige, Eric; Raponi, Pier Giorgio, Systems and methods for selecting a router to connect a bridge in the network on chip (NoC).
  66. Kumar, Sailesh; Norige, Eric; Philip, Joji; Hassan, Mahmud; Mitra, Sundari; Rowlands, Joseph, Tagging and synchronization for fairness in NOC interconnects.
  67. Norige, Eric; Kumar, Sailesh, Transaction expansion for NoC simulation and NoC design.
  68. Kumar, Sailesh; Norige, Eric; Raponi, Pier Giorgio, Transactional traffic specification for network-on-chip design.
  69. Rowlands, Joe; Kumar, Sailesh, Using cuckoo movement for improved cache coherency.
  70. Kumar, Sailesh, Using multiple traffic profiles to design a network on chip.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로