$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Providing frame start indication in a memory system having indeterminate read data latency 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G06F-012/00
출원번호 US-0397827 (2012-02-16)
등록번호 US-8495328 (2013-07-23)
발명자 / 주소
  • Coteus, Paul W.
  • Gower, Kevin C.
  • Maule, Warren E.
  • Tremaine, Robert B.
출원인 / 주소
  • International Business Machines Corporation
대리인 / 주소
    Cantor Colburn LLP
인용정보 피인용 횟수 : 0  인용 특허 : 316

초록

A method for providing frame start indication that includes receiving a data transfer via a channel in a memory system. The receiving is in response to a request, and at an indeterminate time relative to the request. It is determined whether the data transfer includes a frame start indicator. The da

대표청구항

1. A method for providing frame start indication, the method comprising: receiving a data transfer via a channel in a memory system, the receiving in response to a request, and the receiving at an indeterminate time relative to the request;determining whether the data transfer includes a frame start

이 특허에 인용된 특허 (316)

  1. Dreps, Daniel M.; Ferraiolo, Frank D.; Gower, Kevin C.; Kellogg, Mark W.; Rippens, Roger A., 276-pin buffered memory module with enhanced fault tolerance.
  2. Creta Kenneth C. ; Garbus Elliot, 32-bit mode for a 64-bit ECC capable memory subsystem.
  3. Sidiropoulos, Stefanos, Apparatus and method for controlling a master/slave system via master device synchronization.
  4. Radke, William; Peterson, James R., Apparatus and method for distributed memory control in a graphics processing system.
  5. Mohamed, Moataz A; Li, Chien-Wei; Spence, John R., Apparatus and method for issue grouping of instructions in a VLIW processor.
  6. Herbst,Joseph; Flippin,Allan, Apparatus and method for optimizing access to memory.
  7. Luong,Tien D.; Pang,Erwin, Apparatus and method for reducing power consumption in a graphics processing device.
  8. Azimi,Saeed; Ho,Son, Apparatus and method for testing and debugging an integrated circuit.
  9. Halbert John B. ; Dodd Jim M. ; Lam Chung ; Bonella Randy M., Apparatus for implementing a buffered daisy chain connection between a memory controller and memory modules.
  10. Joseph M. Jeddeloh, Apparatus for providing fast memory decode using a bank conflict table.
  11. Brunk John L. (Brighton MI), Apparatus using converters, multiplexer and two latches to convert SCSI data into serial data and vice versa.
  12. Porterfield,A. Kent, Arbitration method for a source strobed bus.
  13. Meyer,James W.; Kanski,Cory, Arbitration system and method for memory responses in a hub-based memory system.
  14. Sites Richard Lee ; Witek Richard T., Branch prediction in high-performance processor.
  15. Dodd, Jim M.; Williams, Michael W.; Halbert, John B.; Bonella, Randy M.; Lam, Chung, Buffer to multiply memory interface.
  16. Drehmel, Robert Allen; Haselhorst, Kent Harold; Hoover, Russell Dean; Marcella, James Anthony, Bus architecture employing varying width uni-directional command bus.
  17. Beverly,Harlan T.; Wong,Percy W.; Booth,Bradley J., Bus conversion device, system and method.
  18. Shah,Paras A.; Kalluraya,Prashantha, Bus device that concurrently synchronizes source synchronous data while performing error detection and correction.
  19. Echartea, Jesus Palomino; Moreno, Gabriel, Bus framer.
  20. John, Gwilt David, Bus interface selection by page table attributes.
  21. Franaszek Peter A. (Katonah NY) Widmer Albert X. (Katonah NY), Byte oriented DC balanced (0,4) 8B/10B partitioned block transmission code.
  22. Gharachorloo, Kourosh; Barroso, Luiz A.; Ravishankar, Mosur K.; Stets, Jr., Robert J; Nowatzyk, Andreas, Cache coherence protocol engine system and method for processing memory transaction in distinct address subsets during interleaved time periods in a multiprocessor system.
  23. McMinn Brian D., Cache including a prefetch way for storing cache lines and configured to move a prefetched cache line to a non-prefetch way upon access to the prefetched cache line.
  24. Ahrens, George Henry; Kitamorn, Alongkorn; McLaughlin, Charles Andrew; Vaden, Michael Thomas, Cache thresholding method, apparatus, and program for predictive reporting of array bit line or driver failures.
  25. Cecchi, Delbert Raymond; Dreps, Daniel Mark, Cascaded differential receiver circuit.
  26. Terada Hiroaki (Osaka JPX) Asada Katsuhiko (Hyogo JPX) Nishikawa Hiroaki (Osaka JPX) Shima Kenji (Hyogo JPX) Komori Shinji (Hyogo JPX) Meichi Mitsuo (Hyogo JPX) Shimizu Masahisa (Osaka JPX) Miyata So, Cascaded information processing module having operation unit, parallel port, and serial port for concurrent data transfe.
  27. Michael B. Raynham, Chipkill for a low end server or workstation.
  28. Andrea Y. J. Chen ; Lordson L. Yue, Circuit and method for detecting bank conflicts in accessing adjacent banks.
  29. Rondeau ; II Thomas C. (Boise ID) Magee Allan R. (Boise ID), Circuit and method for on-board programming of PRD Serial EEPROMS.
  30. Uzelac, Lawrence S., Circuit in which the time delay of an input clock signal is dependent only on its logic phase width and a ratio of capacitances.
  31. Raz,Meirav; Cohen,Zeev; Marcu,Alon, Circuit, system and method for encoding data to be stored on a non-volatile memory array.
  32. Lee D. Whetsel, Circuitry and method of transferring parallel and serial data.
  33. Chang, Nai-Shung; Huang, Jin-Cheng, Clock device for supporting multiplicity of memory module types.
  34. Chin-Chieh, Chao; Chao-Ping, Su; Yen-Kuang, Chen, Clock multiplier.
  35. Yanagiuchi Hiroshi (Kanagawa JPX), Clock signal generator.
  36. Yeh,Tzong Kwang Henry; Beane,Bill; Lin,Chung Han; Chang,Wei Ling, Collision detection in a multi-port memory system.
  37. Betts,William L.; Bremer,Gordon; Holmquist,Kurt, Communication device and method for using non-self-synchronizing scrambling in a communication system.
  38. Keith Dow, Computer system with dram bus.
  39. Masayuki Ikeda JP, Computing system and cache memory control apparatus controlling prefetch in hierarchical cache memories.
  40. Davies Eric (Grass Valley CA) Langston Daun (Grass Valley CA), Configurable architecture for serial communication.
  41. Freidin Philip M. ; Trimberger Stephen M. ; Mahoney John E. ; Erickson Charles R., Configurable parallel and bit serial load apparatus.
  42. Ware,Fred; Perego,Richard; Tsern,Ely, Configurable width buffered module having flyby elements.
  43. Narad,Charles E., Configurably prefetching head-of-queue from ring buffers.
  44. Schultz Kenneth James,CAX ; Shafai Farhad,CAX ; Gibson Garnet Frederick Randall,CAX, Content addressable memory system with cascaded memories and self timed signals.
  45. Clark Scott D. ; Veldhuizen Mark G. ; Jensen Randall S. ; Kirscht Joseph A. ; Rudrud Paul W., Continuously powered mainstore for large memory subsystems.
  46. Perlman Eugene H. (Bedford NY) MacNaughton ; III Laurence (Hampton CT), Controller system or emulating local parallel minicomputer/printer interface and transferring serial data to remote line.
  47. McVicar,David, Convertible single-turn to multi-turn gaming steering wheel utilizing sliding stops.
  48. Doody, John W.; Long, Finbarr Denis; McLoughlin, Michael; O'Keefe, Michael James, Coordinated recalibration of high bandwidth memories in a multiprocessor computer.
  49. Wu, Kun Ho; Chuang, Hai Feng, DDR and QDR converter and interface card, motherboard and memory module interface using the same.
  50. Lamb, Kirk D.; VanStee, Dustin J., DDR-II driver impedance adjustment control algorithm and interface circuits.
  51. Kohn, Leslie D.; Olukotun, Kunle A.; Wong, Michael K., DRAM power management.
  52. Borkar Shekhar (Portland OR) Mooney Stephen R. (Beaverton OR), Daisy chained clock distribution scheme.
  53. Rogers ; Jr. Harry R. (Spring TX) Landry John A. (Tomball TX) Izquierdo Javier F. (Houston TX), Daisy-chained serial shift register for determining configuration of removable circuit boards in a computer system.
  54. Kondo,Hidetoshi, Data access method in the network system and the network system.
  55. Aldereguia Alfredo (Boca Raton FL) Cromer Daryl C. (Delray Beach FL) Bland Patrick M. (Delray Beach FL) Stutes Rodger M. (Delray Beach FL), Data processing apparatus for dynamically setting timings in a dynamic memory system.
  56. Pechanek Gerald G. (Endwell NY) Shippy David J. (Endwell NY) Snedaker Mark C. (Vestal NY) Woodward Sandra S. (Endwell NY), Data processing system parallel data bus having a single oscillator clocking apparatus.
  57. Chang Luke L. (Boca Raton FL) Cazzolla John J. (Fuquay-Varina NC) Nguyen Kha D. (Boca Raton FL), Data processing system with modified planar for boundary scan diagnostics.
  58. Blackmon, Herman Lee; Drehmel, Robert Allen; Haselhorst, Kent Harold; Marcella, James Anthony, Data routing using status-response signals.
  59. Nohara Mitsuo,JPX ; Yamazaki Katsuyuki,JPX, Data scramble transmission system.
  60. Doi Toshio,JPX ; Hayashi Takehisa,JPX ; Nakano Tetsuo,JPX, Data transfer system and method including tuning of a sampling clock used for latching data.
  61. Greeff, Roy; Ovard, David; Lee, Terry R., Data transmission circuit for memory subsystem, has switching circuit that selectively connects or disconnects two data bus segments to respectively enable data transmission or I/O circuit connection.
  62. Agarwal Brijesh, Database system with methods for improving query performance with cache optimization strategies.
  63. Millar Bruce,CAX, De-skewing data signals in a memory system.
  64. Leung Wing Y. (Cupertino CA) Hsu Fu-Chieh (Saratoga CA), Defect tolerant integrated circuit subsystem for communication between a module and a bus controller in a wafer-scale in.
  65. Burns Douglas J. (Westford MA) Fenwick David M. (Nashua NH) Hetherington Ricky C. (Westboro MA), Delay compensated signal propagation.
  66. Masahiro Tanaka JP, Detecting circuit and detecting method of idle word for content addressable memory.
  67. Samson Joseph E. (Dover MA) Wolff Kenneth T. (Medway MA) Reid Robert (Dunstable MA) Hendrie Gardner C. (Marlboro MA) Falkoff Daniel M. (Natick MA) Dynneson Ronald E. (Brighton MA) Clemson Daniel M. (, Digital data processor with high reliability.
  68. Torbey Elie, Digital phase-locked loop circuit with reduced phase jitter frequency.
  69. David, Howard S., Distributed memory module cache prefetch.
  70. Creta, Kenneth C.; Bell, Mike; George, Robert; Congdon, Bradford B; Blankenship, Robert; January, Duane, Distributed read and write caching implementation for optimized input/output applications.
  71. Richard L. Simon, Door knob lighting assembly.
  72. Halbert,John B.; Dodd,James M.; Lam,Chung; Bonella,Randy M.; Holman,Thomas J., Dual-port buffer-to-memory interface.
  73. LaBerge,Paul A., Dynamic command and/or address mirroring system and method for memory modules.
  74. Dell Timothy Jay ; Kellogg Mark William, Dynamic configuration of memory module using modified presence detect data.
  75. Timothy Jay Dell ; Mark William Kellogg, Dynamic configuration of memory module using presence detect data.
  76. Kertesz Veronica ; Whitehead Don ; Burke James ; Tanna Harshad ; Garg Subhash ; Rao A. Rajeshwar,INX ; Lakshmi S. S.,INX ; Mishra S. ; Lakshminarayana J. ; Tamanna Pradeep Kumar,INX ; Kumar B. Ravi,I, Dynamic data exchange server.
  77. Alzien Khaldoun ; Melo Maria L. ; DeSchepper Todd J., Dynamic delayed transaction discard counter in a bus bridge of a computer system.
  78. LaVallee Russell W. (Poughkeepsie NY) Ryan Philip M. (Hopewell Junction NY) Sollitto ; Jr. Vincent F. (Rhinebeck NY), Dynamic replacement of defective memory words.
  79. Hartmann Alfred C., Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip.
  80. Hartwell, David; Donaldson, Darrell, Enhanced clock forwarding data recovery.
  81. Shank Eric M. ; Raccuglia Brian J., Enhanced multi-pathing to an array of storage devices.
  82. Chen Chin-Long ; Dell Timothy Jay ; Kwan Wayne C., Error correcting code retrofit method and apparatus for multiple memory configurations.
  83. Chen Chin-Long ; Dell Timothy Jay ; Kwan Wayne C., Error correcting code retrofit method and apparatus for multiple memory configurations.
  84. Cypher,Robert E., Error detection/correction code which detects and corrects a first failing component and optionally a second failing component.
  85. Chen Chin-Long ; Dell Timothy Jay ; Kwan Wayne C., Error propagation operating mode for error correcting code retrofit apparatus.
  86. Heibel John T. (Columbus OH) Savarda Raymond R. (Centerburg OH), Extended multistation bus system and method.
  87. Olarig, Sompong P., Fail-over of multiple memory blocks in multiple memory modules in computer system.
  88. Haulin Tord Lennart,SEX, Fault tolerant queue system.
  89. Vail, David Kenyon; Wilson, Stephen S.; Volz, Jeffrey D.; Bruckmeyer, Joshua P.; Plum, Allen G., Fault-tolerant communications system and associated methods.
  90. Leung Wingyu (Cupertino CA) Hsu Fu-Chieh (Saratoga CA), Fault-tolerant hierarchical bus system and method of operating same.
  91. Adachi, Takao; Inoue, Kazuyoshi, Flexible printed wiring board.
  92. Chenoweth Charles C. (Renton WA) Takats Imre J. (Bellevue WA), Fly-by-wire lateral control system.
  93. Jeter, Jr.,Robert E.; Marshall,John W.; Scott,Jeffery B., Full access to memory interfaces via remote request.
  94. Porten,Joshua; Kim,Won; Johnson,Scott D.; Nickolls,John R., Galois field arithmetic unit for use within a processor.
  95. Bartels, Michael W.; Wilt, Nicholas J.; Gray, Scott L., High integrity recovery from multi-bit data failures.
  96. Laudon James P. ; Lenoski Daniel E. ; Manton John ; Anderson Michael E., High memory capacity DIMM with data and state memory.
  97. Gower,Kevin C.; Hazelzet,Bruce; Kellogg,Mark W.; Perlman,David J., High reliability memory module with a fault tolerant address and command bus.
  98. Deegan,John M.; Gower,Kevin Charles, High reliability memory subsystem using data error correcting code symbol sliced command repowering.
  99. Fuoco, Charles; Comisky, David A.; Agarwala, Sanjive; Damodaran, Raguram, Hub interface unit and application unit interfaces for expanded direct memory access processor.
  100. Inoue,Hiroshi, Image forming apparatus.
  101. Moon,Byong Mo, Information processing system has clock lines which are electrically isolated from another clock line electrically connected to clock buffer and termination voltage.
  102. Fujiwara, Hirokatsu; Mita, Kimiko, Information processing system with memory element performance-dependent memory control.
  103. Kuroda, Toyoharu; Owada, Kiyoshi, Information processor and information processing method.
  104. Jeddeloh,Joseph M.; Totorica,Robert, Integrated circuit load board and method having on-board test circuit.
  105. Dortu,Jean Marc, Integrated memory having a memory cell array containing a plurality of memory banks, and circuit configuration having an integrated memory.
  106. Charlot Didier (Grenoble FRX) Keryvel Josiane (Versailles FRX) Bernard Christian (Les Clayes sous Bois FRX), Integrated memory, method for managing it, and resultant information processing system.
  107. Rao, Ravi S.; Gillespie, Byron R.; Garbus, Elliot, Integrated real-time performance monitoring facility.
  108. Jeddeloh Joe M., Interface for high speed memory.
  109. Mote ; Jr. L. Randall, Jtag testing of buses using plug-in cards with Jtag logic mounted thereon.
  110. Rypinski Chandos A. (Tiburon CA), Lan communication system and medium adapter for use therewith.
  111. Takahashi, Yoshitaka; Saito, Masahiko; Naya, Hidemitsu; Kikuchi, Mutsumi; Hotta, Takashi, Logic circuit module having power consumption control interface and a recording medium storing the module.
  112. Porter Brian (Marlboro MA) Myers Russell L. (Grafton MA), Long-term storage of controller performance.
  113. Chen,Chin Long, Low cost symbol error correction coding and decoding.
  114. Butt,Derrick Sai Tang; Cochrane,Bruce C.; Seto,Hui Yin; Lau,William W.; McCarthy,Thomas E., Macro cell for integrated circuit physical layer interface.
  115. Nakamura, Hajime; Minowa, Takehisa, Magnetic head actuator having an improved microactuator oscillatably supported by metallic micro-beams.
  116. Chaudhry, Shailender; Tremblay, Marc, Marking memory elements based upon usage of accessed information during speculative execution.
  117. Ohuchi Mitsurou (Tokyo JPX), Memory access control circuit with automatic access mode determination circuitry with read-modify-write and write-per-bi.
  118. Mastronarde,Josh B.; Sreenivas,Aditya; Piazza,Thomas A., Memory arbiter with intelligent page gathering logic.
  119. Johnson, Jerome J.; Clark, Benjamin H.; Piccirillo, Gary J.; MacLaren, John M., Memory auto-precharge.
  120. Dell Timothy J. ; Dimitri Kamal E. ; Dramstad Kent A. ; Faucher Marc R. ; Hazelzet Bruce G. ; Singer Bruce W., Memory card design with parity and ECC for non-parity and non-ECC systems.
  121. Dell Timothy J. ; Hazelzet Bruce G. ; Kellogg Mark W. ; Ogilvie Clarence R. ; Stabler Paul C., Memory card utilizing two wire bus.
  122. Grundy,Kevin P.; Segaram,Para K., Memory chain.
  123. Zimmerman,David, Memory channel test fixture and method.
  124. Vogt,Pete D., Memory channel with unidirectional links.
  125. Kim,Young sik, Memory control apparatus and method for controlling memory access capable of selecting desirable page mode.
  126. Zumkehr, John F.; Vogt, Pete D., Memory control translators.
  127. Thomas A. Dye, Memory controller including compression/decompression capabilities for improved data access.
  128. Rentschler, Eric M.; Hargis, Jeffrey G.; Johnson, Leith L., Memory controller with 1X/MX write capability.
  129. Gates Dennis E. ; Greenfield Scott E. ; Langford ; II Thomas L., Memory controller with error correction memory test application.
  130. Bowden ; III Raymond D. (Tewksbury MA) Salas Edward R. (Lowell MA) Sanfacon Marc E. (Acton MA) Somers Jeffrey S. (Lowell MA), Memory controller with error logging.
  131. Cho, James Y.; Keller, James B.; Hayter, Mark D., Memory controller with programmable configuration.
  132. Rentschler, Eric M.; Hargis, Jeffrey G.; Letey, George T, Memory controller with support for memory modules comprised of non-homogeneous data width RAM devices.
  133. Ely K. Tsern ; Thomas J. Holman ; Richard M. Barth ; Andrew V. Anderson ; Paul G. Davis ; Craig E. Hampel ; Donald C. Stark ; Abhijit M. Abhyankar, Memory device and system including a low power interface.
  134. Baguette,Benoit Leon Roger Ghislain; Vecoven,Frederic Louis Ghislain Gabriel, Memory error analysis for determining potentially faulty memory components.
  135. Braceras Geordie M. ; Lamphier Steven H. ; Pilo Harold, Memory having user programmable AC timings.
  136. Lee,Terry R.; Jeddeloh,Joseph, Memory hub and access method having internal prefetch buffers.
  137. Lee,Terry R.; Jeddeloh,Joseph M., Memory hub and access method having internal prefetch buffers.
  138. Jeddeloh,Joseph M., Memory hub and method for memory sequencing.
  139. Jeddeloh,Joseph M., Memory hub and method for memory system performance monitoring.
  140. Jeddeloh,Joseph M., Memory hub and method for providing memory sequencing hints.
  141. Jeddeloh,Joseph M., Memory hub and method for providing memory sequencing hints.
  142. Schnepper,Randy L., Memory hub with integrated non-volatile memory.
  143. Jeddeloh,Joseph M., Memory hub with internal cache and/or memory access prediction.
  144. Grundon, Steven Alfred; Hazelzet, Bruce Gerard; Kellogg, Mark William; Rogers, James Lewis, Memory interface with programable clock to output time based on wide range of receiver loads.
  145. Tokunaga Muneharu,JPX ; Fukumoto Takakazu,JPX, Memory module.
  146. Halbert, John B.; Dodd, Jim M.; Lam, Chung; Bonella, Randy M., Memory module employing a junction circuit for point-to-point connection isolation, voltage translation, data synchronization, and multiplexing/demultiplexing.
  147. Holman,Thomas J., Memory module having a memory controller to interface with a system bus.
  148. Dong, Lam S.; Doblar, Drew G., Memory module having balanced data I/O contacts pads.
  149. John B. Halbert ; Randy M. Bonella, Memory module having buffer for isolating stacked memory devices.
  150. Farmwald Michael ; Horowitz Mark, Memory module having memory devices containing internal device ID registers and method of initializing same.
  151. Funaba, Seiji; Nakagome, Yoshinobu; Horiguchi, Masashi; Nishio, Yoji, Memory module including module data wirings available as a memory access data bus.
  152. Takashi Kubo JP; Kenichi Yasuda JP; Hisashi Iwamoto JP, Memory module system having multiple memory modules.
  153. Trick Steven G., Memory module with flexible serial presence detect configuration.
  154. Wing Yu Leung ; Fu-Chieh Hsu, Memory modules with high speed latched sense amplifiers.
  155. Kenneth S. Gray, Memory redundancy techniques.
  156. Greeff, Roy; Ovard, David, Memory repeater.
  157. Walker, William J.; MacLaren, John M., Memory sub-system error cleansing.
  158. Richard E. Perego ; Stefanos Sidiropoulos ; Ely Tsern, Memory system including a point-to-point linked memory subsystem.
  159. Wilcox, Jeffrey R., Memory system that measures power consumption.
  160. Lee, Terry R., Memory system that sets a predetermined phase relationship between read and write clock signals at a bus midpoint for a plurality of spaced device locations.
  161. Co, Ramon S., Memory system using complementary delay elements to reduce rambus module timing skew.
  162. Nielsen Michael J. K. ; Kindle Brian ; Gardner Linda S. ; Hussain Zahid S., Memory system with multiple addressing and control busses.
  163. Nielsen Michael J. K. ; Kindle Brian ; Gardner Linda S. ; Hussain Zahid S., Memory system with multiple addressing and control busses.
  164. Deneroff Martin M. ; Sarocky Kenneth M. ; McCall David Leo ; McCracken David Edward, Memory system with switching for data isolation.
  165. Oberlin,William L.; Simpson,Mark R.; Venkataraman,Srinivas, Memory systems and methods.
  166. Smelser Donald W. (Bolton MA), Memory test method and apparatus.
  167. Brock, Bishop Chapman; Chiprout, Eli; Elnozahy, Elmootazbellah Nabil; Rajamony, Ramakrishnan; Rawson, III, Freeman Leigh; Rockhold, Ronald Lynn, Memory transaction monitoring system and user interface.
  168. Puthiya K. Nizar, Memory transceiver to couple an additional memory channel to an existing memory channel.
  169. Harder Stanley D. (Garland TX) Houghton Richard A. (Cocoa Beach FL) Wallace Richard H. (Plano TX), Method and apparatus determining order and identity of subunits by inputting bit signals during first clock period and r.
  170. Aleksic, Milivoje; Temkine, Grigory; Drapkin, Oleg; Mizuyabu, Carl; Hartog, Adrian, Method and apparatus for accessing memory.
  171. Stevens, William A.; Nizar, Puthiya K., Method and apparatus for configuring and initializing a memory device and a memory channel.
  172. Petty ; Jr. John S. (Durham NC), Method and apparatus for constructing and operating multipoint communication networks utilizing point-to point hardware.
  173. Toda, Makoto; Nishimoto, Junichi; Ito, Masayuki; Yoshida, Yutaka; Hasegawa, Jun, Method and apparatus for controlling external devices through address translation buffer.
  174. Daily,James Fred; Douskey,Steven Michael; Hamilton,Michael John, Method and apparatus for customizing and monitoring multiple interfaces and implementing enhanced fault tolerance and isolation features.
  175. David E. Freker ; Andrew M. Volk, Method and apparatus for detecting time domains on a communication channel.
  176. John Stuewe ; Amy C. Nelson, Method and apparatus for determining continuity and integrity of a RAMBUS channel in a computer system.
  177. Bodas,Amit; Bogin,Zohar B.; Freker,David E.; Kareenahalli,Suryaprasad; Ramaswamy,Sridhar, Method and apparatus for dynamic timing of memory interface signals.
  178. Kantrowitz, Mark, Method and apparatus for efficient identification of duplicate and near-duplicate documents and text spans using high-discriminability text fragments.
  179. Clasen Peter-Michael (Munich DEX), Method and apparatus for establishing a system clock in response to the level of one of two clock signal sources.
  180. Leak Daniel P. (Wichita KS) Kloeppner John R. (Wichita KS), Method and apparatus for generating Reed-Soloman error correcting code across multiple word boundaries.
  181. Shimizu Naohiko (Hadano JPX) Sawamoto Hideo (Hadano JPX), Method and apparatus for generating a real address multiple virtual address spaces of a storage.
  182. Cooksey, Robert N.; Jourdan, Stephan J., Method and apparatus for identifying candidate virtual addresses in a content-aware prefetcher.
  183. Krishan Baldev (Fremont CA) Singh Baljeet (Fremont CA) Moorthy Harihara (Fremont CA), Method and apparatus for implementing a PCMCIA auxiliary port connector for selectively communicating with peripheral de.
  184. Leddige, Michael W.; Horine, Bryce D.; Bonella, Randy; MacWilliams, Peter D., Method and apparatus for implementing multiple memory buses on a memory module.
  185. Dow, Keith E., Method and apparatus for improving timing margin in an integrated circuit as determined from recorded pass/fail indications for relative phase settings.
  186. Huggahalli,Ramakrishna; Batson,Brannon J.; Tetrick,Raymond S.; Blankenship,Robert G., Method and apparatus for initiating CPU data prefetches by an external agent.
  187. Week, Jeremy, Method and apparatus for multiplexing hardware performance indicators.
  188. Puthiya K. Nizar, Method and apparatus for power management in a memory subsystem.
  189. Helms,Frank P., Method and apparatus for powering down the CPU/memory controller complex while preserving the self refresh state of memory in the system.
  190. Palanca, Salvador; Cooray, Niranjan L.; Narang, Angad; Pentkovski, Vladimir; Tsai, Steve; Maiyuran, Subramaniam; Keshava, Jagannath; Lee, Hsien-Hsin; Spangler, Steve; Kuttuva, Suresh; Mosur, Praveen, Method and apparatus for prefetching data into cache.
  191. Chih-Wei David Chang ; Joel Frederick Boney ; Jaspal Kohli, Method and apparatus for prioritizing and handling errors in a computer system.
  192. Frankenberg Robert J. (San Jose CA), Method and apparatus for refreshing semiconductor memories in multi-port and multi-module memory system.
  193. Charlton,David E.; Prak,Sovandy N.; Robinson,Keith E., Method and apparatus for storing failing part locations in a module.
  194. Nizar, Puthiya K.; Foo, Khong S., Method and apparatus for supporting SDRAM memory.
  195. Olarig Sompong Paul ; Koenen David J. ; Heng Chai S., Method and apparatus for supporting heterogeneous memory in computer systems.
  196. Olarig, Sompong Paul; Koenen, David J.; Heng, Chai S., Method and apparatus for supporting heterogeneous memory in computer systems.
  197. Gleichert Marc C. (1191 Bretmoor Way San Jose CA 95129) Hsu Arthur (763 Almondwood Way San Jose CA 95120) Wang Yun-Che (278 Sylvia Dr. Los Altos CA 94022), Method and apparatus for transmitting and receiving both 8B/10B code and 10B/12B code in a switchable 8B/10B transmitter.
  198. Barth Richard Maurice ; Griffin Matthew Murdy ; Ware Frederick Abbott ; Horowitz Mark Alan, Method and apparatus for transmitting memory requests by transmitting portions of count data in adjacent words of a pac.
  199. Zumkehr, John F.; Vogt, Pete D., Method and apparatus for utilizing write buffers in memory control/interface.
  200. Lee,Van Hoa, Method and apparatus to change the operating frequency of system core logic to maximize system memory bandwidth.
  201. Theodore L. Willke ; Warren R. Morrow, Method and apparatus to manage digital bus traffic.
  202. Leung Wing Y. (Cupertino CA) Hsu Fu-Chieh (Saratoga CA), Method and circuit for communication between a module and a bus controller in a wafer-scale integrated circuit system.
  203. Michael Baentsch CH; Peter Buhler CH; Thomas Eirich CH; Frank Hoering CH; Marcus Oestreicher CH, Method and device for loading instruction codes to a memory and linking said instruction codes.
  204. Cheselka Harry ; Hunter Steven Wade ; Lingafelt Charles Steven ; Mulkey James Gregory ; Yarbrough John Wagner, Method and system for managing system bus communications in a data processing system.
  205. Lefurgy,Charles R.; Van Hensbergen,Eric, Method and system for power management including local bounding of device group power consumption.
  206. Arimilli, Ravi Kumar; Dodson, John Steven; Fields, Jr., James Stephen, Method and system for prefetching utilizing memory initiated prefetch write operations.
  207. Cronin,Jeffrey J.; Larson,Douglas A., Method and system for terminating write commands in a hub-based memory system.
  208. Bohizic, Theodore J.; Decker, Mark H.; Duale, Ali Y.; Gami, Shailesh Ratilal; Ip, Vincent L.; Wittig, Dennis W., Method and system for testing the validity of shared data in a multiprocessing system.
  209. Liou,Ming Shi, Method and system of calibrating the control delay time.
  210. Kahn, Opher D.; Wilcox, Jeffrey R., Method for dynamically adjusting a memory page closing policy.
  211. Michael W. Leddige ; Bryce D. Horine ; Randy Bonella ; Peter D. MacWilliams, Method for implementing multiple memory buses on a memory module.
  212. Inrig Scott A. (Ottawa CAX) Chapman Alan S. J. (Kanata both of CAX), Method for providing a substitute memory in a data processing system.
  213. Rieger,Martin, Method for reconfiguring a memory.
  214. Volkonsky Vladimir Y.,RUX, Method for reducing a computational result to the range boundaries of a signed 16-bit integer in case of overflow.
  215. Janzen, Jeffery W.; Manning, Troy A.; Martin, Chris G.; Keeth, Brent, Method of synchronizing read timing in a high speed memory system.
  216. Matsuda Yoshio (Itami JPX) Kondoh Harufusa (Itami JPX) Hayashi Isamu (Itami JPX) Notani Hiromi (Itami JPX), Method of testing switches and switching circuit.
  217. Furlani John L. ; Ohlson Alexander R., Method, apparatus and computer program product for locking interrelated data structures in a multi-threaded computing en.
  218. Boatright,Bryan D.; Eapen,Ben J.; Shirley,C. Glenn; Scafidi,Carl, Methods and apparatuses for reducing infant mortality in semiconductor devices utilizing static random access memory (SRAM).
  219. Lin, Shuaibin, Methods and structure for pipelined read return control in a shared RAM controller.
  220. Okumura Naoto,JPX ; Dosaka Katsumi,JPX ; Takata Yukari,JPX, Microcomputer with processor bus having smaller width than memory bus.
  221. Borkenhagen,John Michael, Multi-channel memory architecture for daisy chained arrangements of nodes with bridging between memory channels.
  222. Guthrie, Guy Lynn; Arimilli, Ravi Kumar; Dodson, John Steven; Williams, Derek Edward, Multi-level multiprocessor speculation mechanism.
  223. VanDoren Stephen R. ; Sharma Madhumitra, Multi-processor computer system having a data switch with simultaneous insertion buffers for eliminating arbitration interdependencies.
  224. Janakiraman, Gopalakrishnan; Kumar, Rajendra, Multi-processor system with proactive speculative data transfer.
  225. Sasaki,Paul T.; Bergendahl,Jason R.; Ghia,Atul; Bazargan,Hassan; Sodha,Ketan; Tan,Jian; Zhang,Qi; Menon,Suresh, Multi-purpose source synchronous interface circuitry.
  226. John B. Halbert ; James M. Dodd ; Chung Lam ; Randy M. Bonella, Multi-tier point-to-point buffered memory interface.
  227. Bridges,Jason David; Gastler,Jason Robert; Wilson,Charles A., Multiple T1 channel inverse multiplexing method and apparatus.
  228. Jeddeloh,Joseph M., Multiple processor system and method including multiple memory hub modules.
  229. Timothy J. Dell ; Bruce G. Hazelzet ; Mark W. Kellogg, On-board scrubbing of soft errors memory module.
  230. Archer Jordan J. (San Jose CA) Deora Ajit J. (San Jose CA) Leung Kent S. (Milpitas CA) Peng Leon (Mountain View CA) Schopmeyer Robert C. (Los Altos CA) Scott David J. (Gilroy CA) Sharma Sanjay (Sunny, Open high speed bus for microcomputer system.
  231. Ravi Kumar Arimilli ; Lakshminarayana Baba Arimilli ; Leo James Clark ; John Steven Dodson ; Guy Lynn Guthrie ; James Stephen Fields, Jr., Optimized cache allocation algorithm for multiple speculative requests.
  232. Ackerman Dennis Frank ; Desai Himanshu Harshadrai ; Gupta Ram Kishor ; Strinivasan Ravi Rengarajan, Page table entry management method and apparatus for a microkernel data processing system.
  233. Jeddeloh, Joseph, Pipelined memory controller.
  234. Gaffar Abdul (Princeton NJ) Robinson Richard S. (Piscataway NJ) Miller Jeffrey (Sayreville NJ) Potini Chimpiramma (East Brunswick NJ) Collins Michael A. (Keyport NJ) Shackil Theresa G. (Vincentown NJ, Plaque inhibition with antiplaque oral composition dispensed from container having polymeric material in contact and com.
  235. Rao,G. R. Mohan; Schuette,Franz Michael, Posted precharge and multiple open-page RAM architecture.
  236. Ogawa Toshiyuki (Hyogo JPX) Kawai Shinji (Hyogo JPX), Power on reset circuit for semiconductor integrated circuit device.
  237. Kapil,Sanjiv, Power throttling in a memory system.
  238. Hill, David L; Bachand, Derek T., Prioritized bus request scheduling mechanism for processing devices.
  239. Drehmel, Robert Allen; Haselhorst, Kent Harold; Hoover, Russell Dean; Marcella, James Anthony; Nation, George Wayne, Processor-memory bus architecture for supporting multiple processors.
  240. Sasaki Paul T., Programmable logic device.
  241. Ritchie Dennis M. (Summit NJ), Protection of data file contents.
  242. Garnett Paul J.,GBX, Providing standby power to field replaceable units for electronic systems.
  243. Singh, Gurbir; Greiner, Robert J.; Pawlowski, Stephen S.; Hill, David L.; Parker, Donald D., Quad pumped bus architecture and protocol.
  244. Blanc,Alain; Gallezot,Rene; Le Maut,Francois; Wind,Daniel, Queue scheduling mechanism in a data packet transmission system.
  245. Shin, Dong Woo, Rambus DRAM.
  246. King ; Jr. Paul A. (Needham MA), Receive message processor for a solicited message packet transfer system.
  247. Martin Douglas E. (Round Rock TX), Reconfigurable contiguous address space memory system including serially connected variable capacity memory modules and.
  248. Sako,Yoichiro; Inokuchi,Tatsuya; Furukawa,Shunsuke, Recording method that records an identifier indicating that two kinds of audio data are recorded.
  249. Vogt,Pete D., Redriving a data signal responsive to either a sampling clock signal or stable clock signal dependent on a mode signal.
  250. Curley, Dennis P., Redundant bus switching.
  251. Gabillard Bertrand (Paris FRX) Girard Philippe (Corbeil-Essonnes FRX) Omet Dominique (Evry FRX), Redundant read bus for correcting defective columns in a cache memory.
  252. Shuma,Stephen Gerard, Repair of memory hard failures during normal operation, using ECC and a hard fail identifier circuit.
  253. Ho Son Hong, Rotated data-aligmnent in wade embedded DRAM for page-mode column ECC in a DVD controller.
  254. Wu, Kun Ho; Chuang, Hai Feng; Chiang, Chun Ta, SDR and DDR conversion device and associated interface card, main board and memory module interface.
  255. Mukojima Toshiaki,JPX, STM-N signal error correction coding system and method.
  256. Kessler, Richard E.; Duncan, Samuel H.; Hartwell, David W.; Webb, Jr., David A. J.; Lang, Steve, Scalable efficient I/O port protocol.
  257. Katta Noboru,JPX ; Murakami Hiroki,JPX ; Ibaraki Susumu,JPX ; Nakamura Seiji,JPX, Scrambling apparatus and descrambling apparatus.
  258. Collum,James F.; Janky,William Oscar; Peterson, III,Eugene H.; Maddox,Dennis, Secure transmission system for a digital trunked radio system.
  259. Allen James J. (Raleigh NC) Prorock Thomas J. (Raleigh NC), Segmentable addressable modular communication network hubs.
  260. David, Howard S., Segmented distributed memory module cache.
  261. Cok Ronald S. (Rochester NY), Segmented neural network with daisy chain control.
  262. Callaghan,David M., Self-testing RAM system and method.
  263. Obara,Teruhisa, Semiconductor integrated circuit with a test circuit.
  264. Jakobs,Andreas; Ruckerbauer,Hermann; Kuzmenka,Maksim, Semiconductor memory module.
  265. Toda Haruki,JPX, Semiconductor memory system comprising synchronous DRAM and controller thereof.
  266. Heegard,Chris; Williams,Richard G. C., Separate self-synchronizing packet-based scrambler having replay variation.
  267. Pinkham Raymond (Missouri City TX), Serial accessed semiconductor memory with reconfigurable shift registers.
  268. Cox Darrell L. (Boise ID), Serial architecture for memory module control.
  269. Bruce ; II E. William (Lunenberg MA), Sharing of bus access among multiple state machines with minimal wait time and prioritization of like cycle types.
  270. Wirt Lynda M., Single and multiple channel memory detection and sizing.
  271. Bechtolsheim Andreas ; Frank Edward ; Testa James ; Storm Shawn, Single in-line memory module.
  272. Coteus Paul William ; Dreps Daniel Mark ; Ferraiolo Frank, Smart memory interface.
  273. Moss, Robert W., Structure and methods for measurement of arbitration performance.
  274. Huppenthal,Jon M.; Seeman,Thomas R.; Burton,Lee A., Switch/network adapter port for clustered computers employing a chain of multi-adaptive processors in a dual in-line memory module format.
  275. Harrison Ronnie M. ; Keeth Brent, Synchronous clock generator including a compound delay-locked loop.
  276. Farmwald Michael ; Horowitz Mark, Synchronous memory device having a delay time register and method of operating same.
  277. Grundon, Steven; Kellogg, Mark, Synchronous memory modules and memory systems with selectable clock termination.
  278. Dell Timothy J. (Colchester VT) Farah Lina S. (Burlington VT) Feng George C. (Essex Junction VT) Kellogg Mark W. (Essex Junction VT), Synchronous memory packaged in single/dual in-line memory module and method of fabrication.
  279. Jeddeloh,Joseph M., System and method for arbitration of memory responses in a hub-based memory system.
  280. Weiss,Donald R.; Wuu,John; Morrganti,Charles, System and method for avoiding attempts to access a defective portion of memory.
  281. James,Ralph, System and method for communicating the synchronization status of memory modules during initialization of the memory modules.
  282. Cheung, Edmund; Sponring, Otto, System and method for dynamic clock generation.
  283. Gharachorloo, Kourosh; Barroso, Luiz A.; Stets, Jr., Robert J.; Ravishankar, Mosur K.; Nowatzyk, Andreas, System and method for limited fanout daisy chaining of cache invalidation requests in a shared-memory multiprocessor system.
  284. Dye Thomas A., System and method for managing system memory and/or non-volatile memory using a memory controller with integrated compression and decompression capabilities.
  285. Jeddeloh,Joseph M., System and method for memory hub-based expansion bus.
  286. Jeddeloh,Joseph M., System and method for memory hub-based expansion bus.
  287. Hargis, Jeff G.; Letey, George Thomas; Tayler, Michael Kennard, System and method for multi-modal memory controller system operation.
  288. Jeddeloh,Joseph M., System and method for on-board diagnostics of memory modules.
  289. Venkatraman, KS, System and method for performing page table walks on speculative software prefetch operations.
  290. Erdmann,Michael, System and method for re-routing signals between memory system components.
  291. Jeddeloh,Joseph M.; Lee,Terry, System and method for selective memory module power management.
  292. Bashant,David M.; Buddenbaum,Donald E.; Denny,Michael L.; Yellin,Daniel M., System and method for synchronizing related data elements in disparate storage systems.
  293. Morrow,Warren R.; Dahlen,Eric J.; Nayyar,Raman; Dharanipathi,Jayamohan; David,Howard, System and method for thermal throttling of memory modules.
  294. James,Ralph, System and method for using a learning sequence to establish communications on a high-speed nonsynchronous interface in the absence of clock forwarding.
  295. Owen, Jonathan M.; Hummel, Mark D., System and method of allocating bandwidth to a plurality of devices interconnected by a plurality of point-to-point communication links.
  296. Brooks Gary S., System and methods for performing cache latency diagnostics in scalable parallel processing architectures including calculating CPU idle time and counting number of cache misses.
  297. Bowden ; III Raymond D. (Tewksbury MA) Salas Edward R. (Lowell MA) Sanfacon Marc E. (Acton MA) Somers Jeffrey S. (Lowell MA), System for determining status of errors in a memory subsystem.
  298. Ryan Philip M. (Hopewell Junction NY), System for updating error map of fault tolerant memory.
  299. Polzin,R. Stephen; Weber,Frederick D.; Talbot,Gerald R.; Hewitt,Larry D.; Reeves,Richard W.; Patel,Shwetal A.; La Fetra,Ross V.; Gulick,Dale E.; Hummel,Mark D.; Miranda,Paul C., System including a host connected to a plurality of memory modules via a serial memory interconnect.
  300. Janzen, Jeffery W.; Keeth, Brent; Ryan, Kevin J.; Manning, Troy A.; Johnson, Brian, System latency levelization for read data.
  301. Hayter, Mark D.; Rowlands, Joseph B.; Cho, James Y., System on a chip for networking.
  302. Gower,Kevin C.; Kark,Kevin W.; Kellogg,Mark W.; Maule,Warren E., System, method and storage medium for providing a serialized memory interface with a bus repeater.
  303. Dell,Timothy J.; Gower,Kevin C.; Maule,Warren E., System, method and storage medium for providing fault detection and correction in a memory subsystem.
  304. Tremaine, Robert B., Systems and methods for providing remote pre-fetch buffers.
  305. Olarig,Sompong P., Technique for implementing chipkill in a memory system.
  306. Jeddeloh,Joseph M.; Totorica,Robert, Testing system and method for memory modules having a memory hub architecture.
  307. Hsu,Pochang; Mishra,Animesh; Shi,Jun, Throttling memory in response to an internal temperature of a memory device.
  308. Pruett Gregory B. ; Kilmer Gregory W. ; Ward James Peter, Tracking memory modules within a computer system.
  309. Garney, John I.; Howard, John S., Transaction scheduling for a bus system.
  310. Albert X. Widmer, Transformation of parallel interface into coded format with preservation of baud-rate.
  311. Chen,Ben Wei; Chou,Horng Yee; See,Sun Teck, USB smart switch with packet re-ordering for interleaving among multiple flash-memory endpoints aggregated as a single virtual USB endpoint.
  312. Saulsbury, Ashley; Nettleton, Nyles; Parkin, Michael, VLIW computer processing architecture with on-chip dynamic RAM.
  313. Koto, Shinichiro; Masuda, Tadaaki, Video scramble/descramble apparatus.
  314. Sites Richard L. (Boyleston MA) Witek Richard T. (Littleton MA), Virtual to physical address translation scheme with granularity hint for identifying subsequent pages to be accessed.
  315. Berestov Alexander L., Watch having a multiplicity of band attachment positions and wristband for use therewith.
  316. Ohara,Takumi; Odaka,Emiko; Yonemoto,Ryousuke, Water-soluble polymer dispersion, process for producing the same and method of use therefor.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로