$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-027/10
출원번호 US-0753810 (2010-04-02)
등록번호 US-8581304 (2013-11-12)
발명자 / 주소
  • Becker, Scott T.
  • Mali, Jim
  • Lambert, Carole
출원인 / 주소
  • Tela Innovations, Inc.
대리인 / 주소
    Martine Penilla Group, LLP
인용정보 피인용 횟수 : 1  인용 특허 : 492

초록

A semiconductor device includes conductive features within a gate electrode level region that are each fabricated from respective originating rectangular-shaped layout features having its centerline aligned parallel to a first direction. The conductive features form gate electrodes of first and seco

대표청구항

1. An integrated circuit, comprising: a gate electrode level region having at least six adjacently positioned gate electrode feature layout channels, each gate electrode feature layout channel extending lengthwise in a first direction and widthwise in a second direction perpendicular to the first di

이 특허에 인용된 특허 (492)

  1. Wu,Yan; Bi,Song; Bornemisza,Timothy, ATM header compression using hash tables.
  2. Côté, Michel Luc; Pierrat, Christophe; Hurat, Philippe, Accelerated layout processing using OPC pre-processing.
  3. White,David; Smith,Taber H., Adjustment of masks for integrated circuit fabrication.
  4. Scepanovic Ranko ; Koford James S. ; Andreev Alexander E.,RUX, Advanced modular cell placement system with overlap remover with minimal noise.
  5. Wang, Zhigang; Fallon, Elias; Colwell, Regis R., Analog layout module generator and method.
  6. Sezginer,Abdurrahman; Prasad,Roy, Apparatus and method for breaking up and merging polygons.
  7. Best David W. (Marion IA), Apparatus and method for providing a logical exclusive OR/exclusive NOR function.
  8. Sharma Balmukund ; Mahmood Mossaddeq ; Ginetti Arnold,FRX, Apparatus and method for synthesizing integrated circuits using parameterized HDL modules.
  9. deDood Paul C., Apparatus and methods for generating cell layouts.
  10. Cirit, Mehmet A., Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries.
  11. Russell Philip J. (Alresford CA GBX) Weinert Glenwood S. (San Jose CA), Application generator for use in verifying a hierarchical circuit design.
  12. Gliese, Jörg; Kamp, Winfried; Köppe, Siegmar; Scheppler, Michael, Architecture of function blocks and wirings in a structured ASIC and configurable driver cell of a logic cell zone.
  13. Igarashi Mutsunori (Yokohama JPX) Kora Kaori (Yokohama JPX), Arrangement method for logic cells in semiconductor IC device.
  14. Wimer,Shmuel, Arrangements for automatic re-legging of transistors.
  15. Barnes,Levi D.; Melvin, III,Lawrence S.; Painter,Benjamin D., Assist feature placement using a process-sensitivity model.
  16. Orest Bula ; Daniel C. Cole ; Edward W. Conrad ; William C. Leipold, Auto correction of error checked simulated printed images.
  17. Lukas P. P. P. van Ginneken ; Raymond X. T. Nijssen ; Premal Buch, Automated design of parallel drive standard cells.
  18. Lin, Shyh-Chang; Lee, Chia-Huei; Lu, Yu-Sheng; Ho, Bang-Hwa, Automatic schematic diagram generation using topology information.
  19. Garofalo Joseph G. (South Orange NJ), Auxiliary mask features for enhancing the resolution of photolithography.
  20. Lyons, Fielder Stanton; Mears, Jeffrey A.; Weedon, Gene C.; Harding, Kenneth C.; Owen, Lisa; Russell, Peter Anthony; Mitchell, Joseph, Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape.
  21. Shaw Ching-Hao (Plano TX), Base cell for BiCMOS and CMOS gate arrays.
  22. Aldrich, Lawrence L., Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits.
  23. Venkatraman,Ramnath; Dillon,Michael N.; Gardner,David A.; Monzel, III,Carl Anthony; Ramesh,Subramanian; Armstrong,Robert C.; Delp,Gary Scott; Peterson,Scott Allen, Basic cell architecture for structured application-specific integrated circuits.
  24. Michel Petra (Grafing DEX) Geiger Martin (Furth DEX), Basic cell for a gate array arrangement in CMOS Technology.
  25. Ogura Isao,JPX ; Ueda Yoshitaka,JPX, Basic cell structure having a plurality of transistors for master slice type semiconductor integrated circuit device.
  26. Mariyama,Mitsuru; Nakajima,Satoshi, Bidirectional photothyristor chip, optical lighting coupler, and solid state relay.
  27. Mariyama Mitsuru,JPX, Bidirectional thyristor device.
  28. Furuya, Shigeki; Watanabe, Hisaki; Mototani, Atsushi, CMOS basic cell and method for fabricating semiconductor integrated circuit using the same.
  29. Sheng Teng Hsu, CMOS self-aligned strapped interconnection.
  30. Ali Akbar Iranmanesh, Cell based array comprising logic, transfer and drive cells.
  31. Hirohisa Masuda JP; Hirokazu Ishikawa JP, Cell based integrated circuit and unit cell architecture therefor.
  32. Ichiryu,Miwa; Moriwaki,Toshiyuki; Toubou,Tetsurou, Cell, standard cell, standard cell library, a placement method using standard cell, and a semiconductor integrated circuit.
  33. Smith,Taber H.; Mehrotra,Vikas; White,David, Characterization and reduction of variation for integrated circuits.
  34. Luigi Capodieci ; Christopher A. Spence, Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion.
  35. White, David; Smith, Taber H., Characterization and verification for integrated circuit designs.
  36. White,David; Smith,Taber H., Characterization and verification for integrated circuit designs.
  37. Huang Hong-Yi,TWX, Charge-redistribution low-swing differential logic circuit.
  38. Cohn,John M.; Hibbeler,Jason; Stamper,Anthony K.; Rankin,Jed H., Circuit layout methodology using a shape processing application.
  39. Chang Ted (Mountain View CA), Circuit routing structure using fewer variable masks.
  40. Yang, Hyang Ja; Lee, Song Ja, Circuit wiring layout in semiconductor memory device and layout method.
  41. Wigler Michael H. ; Colicelli John J., Cloning by complementation and related processes.
  42. Wigler Michael H. ; Colicelli John J., Cloning by complementation and related processes.
  43. Uya Masaru (Kadoma JPX), Complementary channel type MOS transistor exclusive OR/NOR logic gate circuit.
  44. Shaw Ching-Hao (Plano TX) Bosshart Patrick (Dallas TX) Matzke Douglas (Dallas TX) Kalyan Vibhu (Dallas TX) Houston Theodore W. (Richardson TX), Comprehensive logic circuit layout system.
  45. Turnbull Robert S. (Sunnyvale CA), Computer-based method and system for product development.
  46. Ihme,David; Kemerling,James C.; Cox,William D., Configurable integrated circuit capacitor array using via mask layers.
  47. Mimoto Kenichiro,JPX ; Enkaku Motohiro,JPX ; Hojo Takehiko,JPX, Contact holes of a different pitch in an application specific integrated circuit.
  48. Osawa, Morimi; Minami, Takayoshi; Asai, Satoru, Creating method of photomask pattern data, photomask created by using the photomask pattern data, and manufacturing method of semiconductor apparatus using the photomask.
  49. Gonzalez Fernando, Cross coupled thin film transistors and static random access memory cell.
  50. Becker, Scott T., Cross-coupled transistor layouts in restricted gate level layout architecture.
  51. Or-Bach, Zvi, Customizable and programmable cell array.
  52. Or-Bach, Zvi; Wurman, Ze'ev; Zeman, Richard; Cooke, Laurance, Customizable and programmable cell array.
  53. Fang-Cheng Chang ; Yao-Ting Wang ; Yagyensh C. Pati, Data hierarchy layout correction and verification method and apparatus.
  54. Cote,Michel L.; Pierrat,Christophe, Design and layout of phase shifting photolithographic masks.
  55. C?t?, Michel L.; Pierrat, Christophe, Design data format and hierarchy management for phase processing.
  56. Igusa Mitsuru ; Chen Hsi-Chuan ; Chao Shiu-Ping ; Dai Wei-Jin ; Shyong Daw Yang, Design hierarchy-based placement.
  57. Thijs, Steven; Linten, Dimitri; Trémouilles, David Eric, Design methodology for MuGFET ESD protection devices.
  58. Fang-Cheng Chang ; Yao-Ting Wang ; Yagyensh C. Pati, Design rule checking system and method.
  59. Pierrat,Christophe; Wong,Alfred K., Design-manufacturing interface via a unified model.
  60. Kushner,Lawrence J.; Anthony,Michael P.; Kohler,Edward, Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies.
  61. Keeth, Brent, Digitline architecture for dynamic memory.
  62. Pierrat, Christophe; Zhang, Youping, Dissection of printed edges from a fabrication layout for correcting proximity effects.
  63. Waggoner Charles D. (Richardson TX), Double buffer base gate array cell.
  64. Nawaz, Muhammad, Dual gate FinFET.
  65. Henning Haffner ; Heinz Hoenigschmid DE; Donald J. Samuels, Dummy feature reduction using optical proximity effect correction.
  66. Smith,Taber H.; Mehrotra,Vikas; White,David, Dummy fill for integrated circuits.
  67. Smith,Taber H.; Mehrotra,Vikas; White,David, Dummy fill for integrated circuits.
  68. Sinha,Subarnarekha; Luo,Jianfeng; Chiang,Charles C., Dummy filling technique for improved planarization of chip surface topography.
  69. Lu, Lee-Chung; Kuo, Chien-Chih; Li, Jian-Yi; Yang, Sheng-Jier, Dummy pattern design for reducing device performance drift.
  70. Becker, Scott T.; Smayling, Michael C., Dynamic array architecture.
  71. Becker,Scott T.; Smayling,Michael C., Dynamic array architecture.
  72. Guterman, Daniel C.; Samachisa, Gheorghe; Fong, Yupin Kawing; Harari, Eliyahou, EEPROM cell array structure with specific floating gate shape.
  73. Nomura, Toshio; Suzuki, Teruo, ESD protection circuit.
  74. Wong Jacques ; Chiang David ; Tolentino Jaime, Efficient use of spare gates for post-silicon debug and enhancements.
  75. Becker, Scott T.; Smayling, Michael C., Electrodes of transistors with at least two linear-shaped conductive structures of different length.
  76. White, David; Smith, Taber H., Electronic design for integrated circuits based on process related variations.
  77. Ali Akhtar (Tucson AZ), Electronic devices for use in generating integrated circuit structures and method therefor.
  78. Schaper Leonard W., Electronic interconnection medium having offset electrical mesh plane.
  79. Dzung Joseph Tran ; Mark W. Acuff, Exclusive or/nor circuit.
  80. Yamazaki Hiroshi,JPX, Exclusive-or gate for use in delay using transmission gate circuitry.
  81. Liebmann Lars Wolfgang ; Sayah Robert Thomas ; Barth ; Jr. John Edward, Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction.
  82. Chang, Josephine B.; Guillorn, Michael A.; Haensch, Wilfried; Saenger, Katherine Lynn, Fin field effect transistor devices with self-aligned source and drain regions.
  83. Fried, David M.; Leipold, William C.; Nowak, Edward J., FinFET layout generation.
  84. Bernstein,Kerry; Nowak,Edward J.; Rainey,BethAnn, FinFET transistor and circuit.
  85. Wu Chi-Yung (Hsin-Chu TWX) Chen Ling (Sunnyvale CA) Peng Tong (Meow-Lee TWX), Fixed resistance high density parallel ROM device.
  86. Yiu Tom D. (Milpitas CA) Shone Fuchia (Hsinchu CA TWX) Lin Tien-Ler (Cupertino CA) Wan Ray L. (Milpitas CA), Flash EPROM integrated circuit architecture.
  87. Tomar, Anurag; Noice, Dave, Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout.
  88. Audzeyeu, Mikalai; Makarevich, Yuriy; Shvedau, Siarhei; Belous, Anatoly; Pikhay, Evgeny; Dayan, Vladislav; Roizin, Yakov, Floating gate inverter type memory cell and array.
  89. Vanhaelemeersch,Serge; Kunnen,Eddy; Carbonell,Laure Elisa, Formation of deep via airgaps for three dimensional wafer to wafer interconnect.
  90. Possley, Brian D., Gate array architecture.
  91. Randy J. Aksamit, Gate array architecture.
  92. Gheewala, Tushar R.; Breid, Duane G.; Sherlekar, Deepak D.; Colwell, Michael J., Gate array architecture using elevated metal levels for customization.
  93. Khatakhotan Mehdy (San Jose CA), Gate array architecture with basic cell interleaved gate electrodes.
  94. Gheewalla Tushar R., Gate array cell architecture and routing scheme.
  95. Bansal, Jai P., Gate array core cell for VLSI ASIC devices.
  96. Nagata Takashi,JPX ; Uesugi Hiroshi,JPX ; Tanaka Hiroaki,JPX, Gate array having highly flexible interconnection structure.
  97. Ueda Kimio (Hyogo JPX) Nakase Yasunobu (Hyogo JPX), Gate array system semiconductor integrated circuit device.
  98. Tran Dzung Joseph ; Acuff Mark Warren, Gate array with fully wired multiplexer circuits.
  99. Blatchford, James Walter; Rathshack, Benjamen Michael, Gate critical dimension variation by use of ghost features.
  100. Crafts Harold S. (Fort Collins CO), Gate isolated base cell structure with off-grid gate polysilicon pattern.
  101. Gupta,Puneet; Kahng,Andrew B, Gate-length biasing for digital circuit optimization.
  102. Lin, Chin-hsen, Generating an instance-based representation of a design hierarchy.
  103. Liebmann,Lars W.; Bukofsky,Scott J.; Graur,Ioana, Generating mask patterns for alternating phase-shift mask lithography.
  104. Shively, John, Hexadecagonal routing.
  105. Colwell Michael J. (Livermore CA) Lee Teh-Kuin (San Jose CA), High density gate array base cell architecture.
  106. Schmitt Jonathan ; Statz Timothy V., High density gate array cell architecture with sharing of well taps between cells.
  107. Peng,Jack Zezhong, High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline.
  108. Pierrat, Christophe, High yield reticle with proximity effect halos.
  109. Harari Eliyahou (2320 Friars La. Los Altos CA 94022), Highly compact EPROM and flash EEPROM devices.
  110. Pierrat Christophe ; Burdorf James, Inspection technique of photomask.
  111. Becker, Scott T.; Smayling, Michael C., Integrated circuit and associated layout with gate electrode level portion including at least two complimentary transistor forming linear conductive segments and at least one non-gate linear conductive segment.
  112. Gheewala Tushar R., Integrated circuit cell architecture and routing scheme.
  113. Gheewala Tushar R., Integrated circuit cell architecture and routing scheme.
  114. Venkatraman, Ramnath; Monzel, III, Carl Anthony; Ramesh, Subramanian, Integrated circuit cell architecture configurable for memory or logic elements.
  115. Venkatraman, Ramnath; Monzel, III, Carl Anthony; Ramesh, Subramanian, Integrated circuit cell architecture configurable for memory or logic elements.
  116. Houston, Theodore W., Integrated circuit cells.
  117. Sahouria, Emile, Integrated circuit design correction using fragment correspondence.
  118. Chun Chan ; Tammy Huang ; Mike Liang, Integrated circuit design incorporating a power mesh.
  119. Zhang, Youping, Integrated circuit design using modified cells.
  120. Wein Enno, Integrated circuit design with delayed cell selection.
  121. Or-Bach Zvi, Integrated circuit device.
  122. Becker, Scott T.; Smayling, Michael C., Integrated circuit device and associated layout including gate electrode level region of 965 NM radius with linear-shaped conductive segments on fixed pitch.
  123. Becker, Scott T.; Smayling, Michael C., Integrated circuit device and associated layout including linear gate electrodes of different transistor types next to linear-shaped non-gate conductive segment.
  124. Becker, Scott T.; Smayling, Michael C., Integrated circuit device and associated layout including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear conductive segment.
  125. Wong Siu-Weng S. (Ithaca NY) Chen Devereaux C. (San Jose CA) Chiu Kuang-Yi (Los Altos Hills CA), Integrated circuit device having improved junction connections.
  126. Becker, Scott T.; Smayling, Michael C., Integrated circuit device including dynamic array section with gate level having linear conductive features on at least three side-by-side lines and uniform line end spacings.
  127. Becker, Scott T.; Smayling, Michael C., Integrated circuit device with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level.
  128. Becker, Scott T.; Smayling, Michael C., Integrated circuit device with gate level region including at least three linear-shaped conductive segments having offset line ends and forming three transistors of first type and one transistor of second type.
  129. Becker, Scott T.; Smayling, Michael C., Integrated circuit device with gate level region including non-gate linear conductive segment positioned within 965 nanometers of four transistors of first type and four transistors of second type.
  130. Becker, Scott T.; Smayling, Michael C., Integrated circuit device with linearly defined gate electrode level region and shared diffusion region of first type connected to shared diffusion region of second type through at least two interconnect levels.
  131. Becker, Scott T.; Smayling, Michael C., Integrated circuit having gate electrode level region including at least four linear-shaped conductive structures with some outer-contacted linear-shaped conductive structures having larger outer extending portion than inner extending portion.
  132. Becker, Scott T.; Smayling, Michael C., Integrated circuit having gate electrode level region including at least seven linear-shaped conductive structures at equal pitch including linear-shaped conductive structure forming transistors of two different types and at least three linear-shaped conductive structures having aligned ends.
  133. Chang,Leland; Wong,Hon Sum Philip, Integrated circuit having gates and active regions forming a regular grating.
  134. Chang,Leland; Wong,Hon Sum Philip, Integrated circuit having gates and active regions forming a regular grating.
  135. Becker, Scott T.; Smayling, Michael C., Integrated circuit including a linear-shaped conductive structure forming one gate electrode and having length greater than or equal to one-half the length of linear-shaped conductive structure forming two gate electrodes.
  136. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length.
  137. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes and including four conductive contacting structures having at least two different connection distances.
  138. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two different extension distances beyond conductive contacting structures.
  139. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two linear-shaped conductive structures of different length.
  140. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least three linear-shaped conductive structures at equal pitch including linear-shaped conductive structure having non-gate portion length greater than gate portion length.
  141. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least three linear-shaped conductive structures of different length each forming gate of different transistor.
  142. Becker, Scott T.; Smayling, Michael C., Integrated circuit including gate electrode level region including at least four linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type.
  143. Becker, Scott T.; Smayling, Michael C., Integrated circuit including gate electrode level region including at least three linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type.
  144. Becker, Scott T.; Smayling, Michael C., Integrated circuit including linear-shaped conductive structures that have gate portions and extending portions of different size.
  145. Ikeda,Hiroshi, Integrated circuit layout device, method thereof and program thereof.
  146. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode level including at least four linear-shaped conductive structures of equal length and equal pitch with linear-shaped conductive structure forming one transistor.
  147. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode level including at least six linear-shaped conductive structures forming gate electrodes of transisters with at least one pair of linear-shaped conductive structures having offset ends.
  148. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode level region including at least four linear-shaped conductive structures forming gate electrodes of transistors and including extending portions of at least two different sizes.
  149. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode level region including multiple linear-shaped conductive structures forming gate electrodes of transistors and including uniformity extending portions of different size.
  150. Liaw,Jhon Jhy, Integrated semiconductor structure for SRAM cells.
  151. Leigh C. Anderson ; Nicolas B. Cobb ; Laurence W. Grodd ; Emile Sahouria ; Siqiong You, Integrated verification and manufacturability tool.
  152. Chang, Peter L. D., Integration of planar and tri-gate devices on the same substrate.
  153. Chang,Peter L. D., Integration of planar and tri-gate devices on the same substrate.
  154. Iwaki,Takayuki, Interconnect layout method.
  155. Banachowicz,Bartosz; Wright,Andrew, Interlocking memory/logic cell layout and method of manufacture.
  156. Wu,Shao Po; Wang,Xin; Tang,Hongbo; Hung,Meg, Intermediate layout for resolution enhancement in semiconductor fabrication.
  157. Lee, Kang Seol; Lee, Eun Souk, Latch structure and bit line sense amplifier structure including the same.
  158. William Wai-Yan Ho, Layer-based rule checking for an integrated circuit layout.
  159. Masakazu Tanaka JP; Masahiro Fukui JP, Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method.
  160. Nakagawa Shinichi (Itami JPX) Kawai Hiroyuki (Itami JPX), Layout designing method for a semiconductor integrated circuit device.
  161. Matsumoto Nobu,JPX, Layout method for semiconductor integrated circuit and layout apparatus for semiconductor integrated circuit.
  162. Kang, Tae-Gyoung, Layout method of semiconductor device.
  163. Maeda,Jun, Layout method of semiconductor integrated circuit, layout structure thereof, and photomask for forming the layout structure.
  164. Hidaka, Itsuo, Layout structure of multiplexer cells.
  165. Toubou, Tetsurou, Layout structure of semiconductor device.
  166. Jigish D. Trivedi, Local interconnect structures and methods for making the same.
  167. Yamaguchi Akira,JPX, Logic cell having efficient optical proximity effect correction.
  168. Hirairi, Kouji, Logic circuit and full adder using the same.
  169. Shunzo Yamashita JP; Kazuo Yano JP; Yasuhiko Sasaki, Logic circuit and its forming method.
  170. Morgenshtein, Arkadiy; Fish, Alexander; Wagner, Israel A., Logic circuit and method of logic circuit design.
  171. Sako Norimitsu,JPX, Logic circuit utilizing pass transistors and logic gate.
  172. Michael Tooher DE; Stefano Tonello IT, Low power RAM memory cell using a precharge line pulse during write operation.
  173. Chang,Yen Jen; Lai,Feipei; Yang,Chia Lin, Low-power SRAM memory cell.
  174. Sato Yuichi,JPX, MOS logic circuit and semiconductor apparatus including the same.
  175. Barnak,John P.; Chau,Robert S.; Liang,Chunlin, MOSFET gate electrodes having performance tuned work functions and methods of making same.
  176. Sanie, Michael; Pramanik, Dipankar; Lippincott, Susan Jennifer, Mask cost driven logic optimization and synthesis.
  177. Chen Jang F. (San Jose CA) Matthews James A. (Milpitas CA), Mask for photolithography.
  178. Pierrat, Christophe; Zhang, Youping, Mask product made by selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabricat layout.
  179. Tanizawa Tetsu (Kawasaki JPX), Master slice IC device.
  180. Yuen Alex (Sunnyvale CA), Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions.
  181. Hollis,Paul W.; Lattimore,George M.; Rutledge,Matthew B., Memory access system and method using de-coupled read and write circuits.
  182. Andreev Alexander ; Pavisic Ivan ; Raspopovic Pedja, Metal layer assignment.
  183. Wann, Clement H.; Yang, Haining S., Metal silicide alloy local interconnect.
  184. Mohan, Sunderarjan; Shen, Xiling, Method and apparatus for automatic layout of circuit structures.
  185. Hao Ling-Hui (Fremont CA) Edwards Lawrence B. (San Jose CA), Method and apparatus for compacting integrataed circuits with transistor sizing.
  186. Shinomiya Noriko,JPX ; Toyonaga Masahiko,JPX ; Fukui Masahiro,JPX ; Akino Toshiro,JPX, Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce.
  187. Sridhar Ramalingam ; Xuguang Zhang, Method and apparatus for designing circuits for wave pipelining.
  188. Shibuya,Toshiyuki, Method and apparatus for designing integrated circuit enabling the yield of integrated circuit to be improved by considering random errors.
  189. Corbin ; II Ludlow V. (Seattle WA) Danielson Steven G. (Seattle WA) Oettel Richard E. (Bellevue WA) Rossman Mark E. (Redmond WA) Thiele James E. (Seattle WA), Method and apparatus for designing integrated circuits.
  190. Upton Michael D. (Seattle WA) Rossman Thomas F. (Kirkland WA) Frazier Dean P. (Bellevue WA) Fuller Jay S. (Issaquah WA) Russell Kendall C. (Issaquah WA), Method and apparatus for designing the layout of a subcircuit in an integrated circuit.
  191. Chen,Hsien Wei; Tsai,Hao Yi; Chen,Hsueh Chung; Jeng,Shin Puu; Lin,Jian Hong; Lin,Chih Tao; Hsu,Shih Hsun, Method and apparatus for enhanced CMP planarization using surrounded dummy design.
  192. McSherry Michael C. ; Strobel Richard E. ; Todd Robert A. ; Nguyen Paul M., Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design.
  193. Côté, Michel Luc; Hurat, Philippe; Pierrat, Christophe, Method and apparatus for facilitating process-compliant layout optimization.
  194. Kojima Shuichi,JPX, Method and apparatus for generating finite element meshes, and analyzing method and apparatus.
  195. Capodieci, Luigi; Torres Robles, Juan Andres; Van Os, Lodewijk Hubertus, Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques.
  196. Wu,James Xiaqing, Method and apparatus for identifying line-end features for lithography verification.
  197. Dooling,Daria R.; Settlemyer, Jr.,Kenneth T.; Smolinski,Jacek G.; Thomas,Stephen D.; Williams,Ralph J., Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data.
  198. Melvin, III,Lawrence S., Method and apparatus for placing assist features by identifying locations of constructive and destructive interference.
  199. Pindo, Massimiliano, Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process.
  200. Zhang, Youping, Method and apparatus for reducing optical proximity correction output file size.
  201. Kerzman, Joseph Peter; Rezek, James Edward, Method and apparatus for selecting and aligning cells using a placement tool.
  202. Bendik, Joseph J.; Hankinson, Matt, Method and apparatus for the production of process sensitive lithographic features.
  203. Sezginer,Abdurrahman, Method and apparatus of model-based photomask synthesis.
  204. Ludwig, Burkhard; Mueller, Uwe, Method and device for classifying cells in a layout into a same environment and their use for checking the layout of an electronic circuit.
  205. Pileggi,Lawrence T.; Strojwas,Andrzej J.; Lanza,Lucio L., Method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features.
  206. Campbell,John E.; Devine,William T.; Srikrishnan,Kris V., Method and structure for buried circuits and devices.
  207. Shenton Graham (Monte Sereno CA) Jones Ioan G. (Los Gatos CA) Lucas David W. (Saratoga CA) Barton Ronald E. (Saratoga CA), Method and structure for use in designing and building electronic systems in integrated circuits.
  208. Andreev,Alexandre; Pavisic,Ivan; Ivanovic,Lav, Method and system for classifying an integrated circuit for optical proximity correction.
  209. Pack,Robert C.; Scheffer,Louis K., Method and system for context-specific mask inspection.
  210. Chang, Keh-Jeng; Chang, Li-Fu; Mathews, Robert G.; Walker, Martin G., Method and system for extraction of parasitic interconnect impedance including inductance.
  211. Ho Wai-Yan ; Tang Hongbo, Method and system for layout verification of an integrated circuit design with reusable subdesigns.
  212. Kamat,Vishnu Govind, Method and system for managing design corrections for optical and process effects based on feature tolerances.
  213. Gupta, Puneet; Kahng, Andrew B.; Park, Chul Hong, Method and system for placing layout objects in a standard-cell layout.
  214. Govil, Pradeep Kumar; Tsacoyeanes, James, Method and system for selective linewidth optimization during a lithographic process.
  215. Bhattacharya,Debashis; Boppana,Vamsi; Roy,Rabindra; Roy,Jayanta, Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks.
  216. Bakarian, Sergei; Segal, Julie, Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design.
  217. Sezginer, Abdurrahman; Yenikaya, Bayram, Method for checking printability of a lithography target.
  218. Crouse, James V.; Lowe, Terry M.; Miao, Limin; Montstream, James R.; Vogl, Norbert; Wyckoff, Colleen A., Method for comprehensively verifying design rule checking runsets.
  219. Kahng,Andrew B.; Gupta,Puneet; Sylvester,Dennis; Yang,Jie, Method for correcting a mask design layout.
  220. Tanaka, Masakazu; Fukui, Masahiro, Method for design of partial circuit.
  221. Ponnapalli Saila (Dutchess County NY) Soyuer Mehmet (Westchester County NY) Ewen John F. (Westchester County NY), Method for designing high-Q inductors in silicon technology without expensive metalization.
  222. Amy A. Winder ; Werner Juengling, Method for designing photolithographic reticle layout, reticle, and photolithographic process.
  223. Winder, Amy A.; Juengling, Werner, Method for designing photolithographic reticle layout, reticle, and photolithographic process.
  224. Kelberlau,Ulrich; Ingram,Peter; Zommer,Nathan, Method for fabricating forward and reverse blocking devices.
  225. Becker, Scott T.; Smayling, Michael C., Method for fabricating integrated circuit having at least three linear-shaped gate electrode level conductive features of equal length positioned side-by-side at equal pitch.
  226. Becker, Scott T.; Smayling, Michael C., Method for fabricating integrated circuit having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch.
  227. Becker, Scott T.; Smayling, Michael C., Method for fabricating integrated circuit including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear condcutive segment.
  228. Becker, Scott T.; Smayling, Michael C., Method for fabricating integrated circuit with gate electrode level portion including at least two complementary transistor forming linear conductive segments and at least one non-gate linear conductive segment.
  229. Becker, Scott T.; Smayling, Michael C., Method for fabricating integrated circuit with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level.
  230. Sandhu,Sukesh; Sandhu,Gurtej S., Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus.
  231. Cui,Yuping; Mansfield,Scott M., Method for improving optical proximity correction.
  232. Hsu Sheng Teng, Method for manufacturing a CMOS self-aligned strapped interconnection.
  233. Bodendorf, Christof Tilmann; Thiele, Jörg, Method for optimizing and method for producing a layout for a mask, preferably for use in semiconductor production, and computer program therefor.
  234. Misaka, Akio; Odanaka, Shinji, Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI.
  235. Misaka,Akio; Odanaka,Shinji, Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI.
  236. Fujimaga Masato (Hyogo JPX), Method for predicting the three-dimensional topography of surfaces of semiconductor devices after reflow processing.
  237. Semmler,Armin, Method for producing a mask layout avoiding imaging errors for a mask.
  238. Wang,Hsin Shih; Shieh,Shang Jyh; Ku,Ming Hsin, Method for programming a routing layout design through one via layer.
  239. Ramaswamy, S. Ram; Alcorn, Charles N.; Brown, III, Arnett J.; Butts, Tatia E., Method for providing a fill pattern for an integrated circuit design.
  240. Kokubun,Tetsuya, Method for providing layout design and photo mask.
  241. Van Ginneken, Lukas P. P. P.; Groeneveld, Patrick R.; Philipsen, Wilhelmus J. M., Method for storing multiple levels of design data in a common database.
  242. Baba Ali,Nabila, Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems.
  243. Goldbach,Matthias; Hecht,Thomas; L체tzen,J철rn; Sell,Bernhard, Method for the production of a self-adjusted structure on a semiconductor wafer.
  244. Williams,Patrick M.; Cho,Ee K.; Hathaway,David J.; Hsu,Mei Ting; Lange,Lawrence K.; Northrop,Gregory A.; Visweswariah,Chandramouli; Washburn,Cindy ShuiKing; Zhou,Jun, Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices.
  245. DeCamp William Frantz ; Earl Laurice Thorsen ; Minahan Jason Steven ; Montstream James Robert ; Nickel Daniel John ; Oler ; Jr. Joseph James ; Williams Richard Quimby, Method for verifying design rule checking software.
  246. Gupta,Puneet; Heng,Fook Luen; Lavin,Mark A., Method of IC fabrication, IC mask fabrication and program product therefor.
  247. Chao Ying-Chen,TWX ; Chen Chia-Hsiang,TWX ; Sheu Jhy-Sheng,TWX, Method of automatic dummy layout generation.
  248. Fritze, Michael; Tyrrell, Brian, Method of design and fabrication of integrated circuits using regular arrays and gratings.
  249. Watanabe, Susumu, Method of designing integrated circuit and apparatus for designing integrated circuit.
  250. Becker, Scott T.; Smayling, Michael C., Method of fabricating integrated circuit including at least six linear-shaped conductive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length.
  251. Chen J. Fung ; Wampler Kurt E. ; Laidig Tom, Method of fine feature edge tuning with optically-halftoned mask.
  252. Hui, Angela T.; Singh, Bhanwar, Method of forming smaller contact size using a spacer hard mask.
  253. Shi, Xuelong; Chen, Jang Fung; Hsu, Duan-Fu Stephen, Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs.
  254. Tadokoro Hirofumi,JPX ; Arai Kenji,JPX, Method of laying out interconnections.
  255. Zhang,Guohong; O'Brien,Sean, Method of locating sub-resolution assist feature(s).
  256. Rowson James A. (Fremont CA) Trimberger Stephen M. (San Jose CA), Method of making a customized semiconductor integrated device.
  257. Mokhlesi, Nima; Scheuerlein, Roy, Method of making three dimensional NAND memory.
  258. Tamura,Naoyuki; Urakawa,Yukihiro, Method of manufacturing a semiconductor integrated circuit, a program for a computer automated design system, and a semiconductor integrated circuit.
  259. Kobayashi, Kazuhiko; Miyazaki, Kou, Method of manufacturing semiconductor integrated circuit device.
  260. Hashimoto, Naotaka; Hoshino, Yutaka; Ikeda, Shuji, Method of manufacturing semiconductor integrated circuit device having capacitor element.
  261. Yelehanka, Pradeep; Chen, Tong Qing; Han, Zhi Yong; Zheng, Zhen Jia; Ong, Kelvin; Gu, Tian Hao; Cheah, Syn Kean, Method of manufacturing semiconductor local interconnect and contact.
  262. Samuels, Donald J., Method of optical proximity correction with sub-resolution assists.
  263. Charlebois,Steven E.; Dunn,Paul E.; Rohrbaugh, III,George W., Method of optimizing customizable filler cells in an integrated circuit physical design process.
  264. Chun-Chih Yang TW; Yung-Chung Chang TW; Shu-Tzu Wang TW, Method of placement and routing for an array device.
  265. Burstein, Michael; Ginzburg, Boris, Method of placing and routing for power optimization and timing closure.
  266. Reyes Alberto J. ; Snyder Daniel J. ; Chamoun Sleiman N. ; Ramondetta Karen S., Method of selecting device threshold voltages for high speed and low power.
  267. Kotani,Toshiya; Tanaka,Satoshi; Hashimoto,Koji; Inoue,Soichi; Mori,Ichiro, Method of setting process parameter and method of setting process parameter and/or design rule.
  268. Laidig,Thomas; Chen,Jang Fung; Shi,Xuelong; Schlief,Ralph; Hollerbach,Uwe; Wampler,Kurt E., Method of two dimensional feature model calibration and optimization.
  269. Armbrust, Douglas S.; Martin, Dale W.; Rankin, Jed H.; Tousley, Sylvia, Method to define and tailor process limited lithographic features using a modified hard mask process.
  270. Liebmann, Lars W.; Mansfield, Scott; Wong, Alfred K., Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions.
  271. Chou,Shih Wei; Tsai,Ming Hsing; Lin,Ming Wei, Method to improve planarity of electroplated copper.
  272. Shin,Jaw Jung; Ke,Chih Ming; Lin,Burn Jeng, Method to reduce CD non-uniformity in IC manufacturing.
  273. Kahng, Andrew B.; Park, Chul-Hong, Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction.
  274. Visweswariah,Chandramouli; Xiong,Jinjun; Zolotov,Vladimir, Method, system, and program product for computing a yield gradient from statistical timing.
  275. Chuang, Harry; Chang, Victor C. Y.; Chen, Yung-Shun; Hou, Shang Y., Methodology to characterize metal sheet resistance of copper damascene process.
  276. Rahmat,Khalid; McElvain,Kenneth S., Methods and apparatuses for thermal analysis based circuit design.
  277. Furnish, Geoffrey Mark; LeBrun, Maurice J.; Bose, Subhasis, Methods and systems for placement.
  278. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  279. Smayling, Michael C.; Becker, Scott T., Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same.
  280. Reed, Brian; Smayling, Michael C.; Hong, Joseph N.; Fairbanks, Stephen; Becker, Scott T., Methods for defining and utilizing sub-resolution features in linear topology.
  281. Hong, Joseph; Kornachuk, Stephen; Becker, Scott T., Methods for defining contact grid in dynamic array architecture.
  282. Becker, Scott T.; Smayling, Michael C., Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same.
  283. Becker, Scott T.; Smayling, Michael C., Methods for designing semiconductor device with dynamic array section.
  284. Dhrumil Gandhi, Methods for designing standard cell transistor structures.
  285. Rueckes,Thomas; Segal,Brent M., Methods of nanotubes films and articles.
  286. Maziasz Robert L. ; Guruswamy Mohankumar ; Raman Srilata, Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors.
  287. Allen,Robert J.; Gray,Michael S.; Heng,Fook Luen; Hibbeler,Jason D.; McCullen,Kevin W.; Narayan,Rani R.; Walker,Robert F.; Yuan,Xin, Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs.
  288. Capodieci Luigi, Modification of mask layout data to improve writeability of OPC.
  289. Dellinger, Eric, Modular array defined by standard cell logic.
  290. Park,Young Jin; Mueller,Gerhard, Multi-level conductive lines with reduced pitch.
  291. Van Houdt, Jan; Haspeslagh, Luc, Multibit non-volatile memory and method.
  292. Fuchida Yumi,JPX ; Hanari Jun,JPX ; Matsumoto Kazuhiro,JPX ; Kudo Junichi,JPX ; Yoshihara Kunio,JPX ; Takagi Ayako,JPX, Multilayer wiring structure.
  293. Dixit,Abhisek; De Meyer,Kristin, Multiple gate semiconductor device and method for forming same.
  294. Sani, Mehdi Hamidi; Uvieghara, Gregory A., Non-volatile multi-threshold CMOS latch with leakage control.
  295. Sira G. Sudhindranath ; Anand Sethuraman, Off-grid metal layer utilization.
  296. Sudhindranath Sira G. ; Sethuraman Anand, Off-grid metal layer utilization.
  297. Reich Alfred J. ; Lucas Kevin D. ; Kling Michael E. ; Grobman Warren D. ; Roman Bernard J., One dimensional lithographic proximity correction using DRC shape functions.
  298. Zach, Franz Xaver, Optical lithography correction process.
  299. Hsu Tzu-Jeng,TWX, Optical proximity correction (OPC) method for improving lithography process window.
  300. Yu, Shinn-Sheng, Optical proximity correction common process window maximization over varying feature pitch.
  301. Garza Mario ; Jensen John V. ; Eib Nicholas K. ; Chao Keith K., Optical proximity correction method and apparatus.
  302. Smith, Bruce W., Optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features.
  303. Yamamoto Kazuko,JPX ; Miyama Sachiko,JPX ; Koyama Kiyomi,JPX ; Inoue Soichi,JPX, Optical proximity correction system.
  304. Eaton, Frederik; Beerel, Peter, Optimization of cell subtypes in a hierarchical design flow.
  305. de Dood,Paul; Lee,Brian; Albers,Daniel, Optimization of circuit designs using a continuous spectrum of library cells.
  306. Cadouri, Eitan, Optimization of die placement on wafers.
  307. Mori,Kenji; Nakajima,Takashi, Optimizing designing apparatus of integrated circuit, optimizing designing method of integrated circuit, and storing medium in which program for carrying out optimizing designing method of integrated.
  308. Dunning James E. (Austin TX) Lundberg James R. (Austin TX) Ramus Richard S. (Austin TX) Gay James G. (Pflugerville TX), Output circuit for interfacing integrated circuits having different power supply potentials.
  309. Becker, Scott T., Oversized contacts and vias in semiconductor chip defined by linearly constrained topology.
  310. Beahm Martin Emery ; Chappell Terry Ivan ; Joshi Rajiv Vasant, Parameterized cells for generating dense layouts of VLSI circuits.
  311. Takashima, Makoto; Ikeuchi, Atsuhiko; Hashimoto, Koji; Igarashi, Mutsunori; Yamada, Masaaki, Pattern correction method, apparatus, and program.
  312. Garza Mario ; Eib Nicholas K. ; Jensen John V. ; Chao Keith K., Performing optical proximity correction with the aid of design rule checkers.
  313. Garza Mario ; Eib Nicholas K. ; Jensen John V. ; Chao Keith K., Performing optical proximity correction with the aid of design rule checkers.
  314. Orbach Zvi (Haifa ILX), Personalizable gate array devices.
  315. Pierrat,Christophe, Phase shift masking for complex patterns with proximity adjustments.
  316. Wang Yao-Ting ; Pati Yagyensh C., Phase shifting circuit manufacture method and apparatus.
  317. Hung,Yung Long; Wu,Yuan Hsun, Phase-shifting mask for equal line/space dense line patterns.
  318. Rittman, Danny; Oren, Micha, Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same.
  319. Rittman, Danny; Oren, Micha, Photomask for reducing power supply voltage fluctuations in an integrated circuit and integrated circuit manufactured with the same.
  320. Reed,Jeffrey B.; Blomgren,James S.; Glowka,Donald W.; Olson,Timothy A.; Rudwick,Thomas W., Physical realization of dynamic logic using parameterized tile partitioning.
  321. Chu, Wei-Mun; Gouravaram, Sudhakar R.; Nguyen, Son, Placement and routing of circuits using a combined processing/buffer cell.
  322. Dasasathyan, Srinivasan; Stenz, Guenter; Nag, Sudip K., Placement of clock objects under constraints.
  323. Gordon,Ronald L.; Graur,Ioana C.; Liebmann,Lars W., Pliant SRAF for improved performance and manufacturability.
  324. Kapur Rajiv, Poly routing for chip interconnects with minimal impact on chip performance.
  325. Donze,Richard Lee; Hovis,William Paul; Kueper,Terrance Wayne; Sheets, II,John Edward; Tetzloff,Jon Robert, Polysilicon conductor width measurement for 3-dimensional FETs.
  326. Hsu Louis Lu-Chen ; Mandelman Jack Allan ; Assaderaghi Fariborz, Process for forming a memory structure that includes NVRAM, DRAM, and/or SRAM memory structures on one substrate and process for forming a new NVRAM cell structure.
  327. Groover ; III Robert (Dallas TX) Haken Roger A. (Richardson TX) Holloway Thomas C. (Dallas TX), Process for making integrated circuits having titanium nitride triple interconnect.
  328. Holloway Thomas C. (Dallas TX) Tang Thomas E. (Dallas TX) Wei Che-Chia (Plano TX) Haken Roger A. (Richardson TX) Bell David A. (Dallas TX), Process for patterning local interconnects.
  329. Huang Heng S. (Taipei TWX), Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implan.
  330. Matthew, Itty; Singh, Bhanwar, Process margin using discrete assist features.
  331. Ferguson, Richard A.; Lavin, Mark A.; Liebmann, Lars W.; Wong, Alfred K., Process window based optical proximity correction of lithographic images.
  332. Pileggi, Larry; Schmit, Herman, Programmable gate array based on configurable metal interconnect vias.
  333. Colwell Michael J. ; Lee Teh-Kuin ; Chiu Jane C.T. ; Yee Abraham F. ; Yeh Stanley Wen-Chin ; Padmanabhan Gobi R., Programmable polysilicon gate array base cell architecture.
  334. Sirna Antonino,ITX, Protein from urine named component B.
  335. Gardner, Harry, Radiation resistant integrated circuit design.
  336. Gheewala Tushar R., Reduced area gate array cell design based on shifted placement of alternate rows of cells.
  337. Jacques,Etienne; Kronmiller,Tom, Removal of acute angles in a design layout.
  338. Zhou, Wen Zhan; Yu, Jin; See, Kai Hung Alex, Reticle and optical proximity correction method.
  339. Lin Chin-Lung,TWX, Reticle having assist feature between semi-dense lines.
  340. Tushar R. Gheewala ; Henry H. Yang, Routing driven, metal programmable integrated circuit architecture with multiple types of core cells.
  341. Kumagai Kouichi,JPX, SOI IGFETs having raised integration level.
  342. Osada, Kenichi; Minami, Masataka; Ikeda, Shuji; Ishibashi, Koichiro, SRAM cells with two P-well structure.
  343. Bhattacharyya,Arup, SRAM devices, and electronic systems comprising SRAM devices.
  344. Ikeda Shuji,JPX ; Meguro Satoshi,JPX ; Hashiba Soichiro,JPX ; Kuramoto Isamu,JPX ; Koike Atsuyoshi,JPX ; Sasaki Katsuro,JPX ; Ishibashi Koichiro,JPX ; Yamanaka Toshiaki,JPX ; Hashimoto Naotaka,JPX ; , SRAM having load transistor formed above driver transistor.
  345. Haken Roger A. (Richardson TX) Tang Thomas E. (Dallas TX) Wei Che-Chia (Plano TX) Hite Larry R. (Dallas TX), SRAM with local interconnect.
  346. Hsu, Chien Ping, Scattering bar OPC application method for mask ESD prevention.
  347. Pramanik, Dipankar; Sanie, Michael, Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits.
  348. Widdershoven,Franciscus Petrus; Van Duuren,Michiel Jos, Self-aligned 2-bit "double poly CMP" flash memory cell.
  349. Chang, Peter L. D.; Doyle, Brian S., Self-aligned contacts for transistors.
  350. Caterer Michael Dean ; Daubenspeck Timothy Harrison ; Ference Thomas George ; Sprogis Edmund Juris, Self-aligned pattern over a reflective layer.
  351. Godinho Norman (Los Altos Hills CA) Lee Tsu-Wei Frank (Monte Sereno CA) Chen Hsiang-Wen (Cupertino CA) Motta Richard F. (Los Altos CA) Tsang Juine-Kai (Palo Alto CA) Tzou Joseph (Belmont CA) Baik Jai, Self-aligning contact and interconnect structure.
  352. Satomi, Katsuji; Yamauchi, Hiroyuki, Semiconductor SRAM having linear diffusion regions.
  353. Higeta,Keiichi; Iwahashi,Satoshi; Aihara,Yoichiro; Nakahara,Shigeru, Semiconductor device.
  354. Ikoma,Daisaku; Kajiya,Atsuhiro; Ootani,Katsuhiro; Yamashita,Kyoji, Semiconductor device.
  355. Kariyazaki, Shuuichi, Semiconductor device.
  356. Or Bach,Zvi; Cooke,Laurence; Apostol,Adrian; Iacobut,Romeo, Semiconductor device.
  357. Or-Bach Zvi ; Cox Bill Douglas, Semiconductor device.
  358. Tanaka, Takuji, Semiconductor device.
  359. Uehara Takao (Tokyo JPX) Tsuchimoto Takamitsu (Machida JPX) Hamada Katsuyuki (Kawasaki JPX) Masuzawa Hideo (Tokyo JPX) Mukai Makoto (Hino JPX), Semiconductor device.
  360. Zvi Or-Bach ; Bill Douglas Cox, Semiconductor device.
  361. Yamamoto, Jiro; Murai, Fumio; Terasawa, Tsuneo; Yamamoto, Tosiyuki, Semiconductor device and a manufacturing method of the same.
  362. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch.
  363. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch with gate electrode connection through single interconnect level.
  364. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts having transistors formed from linear conductive segment with non-active neighboring linear conductive segment.
  365. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode connection through single interconnect level.
  366. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode-to-gate electrode connection through single interconnect level and common node connection through different interconnect level.
  367. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts having transistors formed from six linear conductive segments with intervening diffusion contact restrictions.
  368. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments.
  369. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers.
  370. Becker, Scott T.; Smayling, Michael C., Semiconductor device and associated layouts including linear conductive segments having non-gate extension portions.
  371. Hayashi,Kohtaro; Shibayama,Akinori, Semiconductor device and layout design method therefor.
  372. Yamaguchi Akira,JPX, Semiconductor device and manufacturing method for the same, basic cell library and manufacturing method for the same, and mask.
  373. Yuichiro Egi JP, Semiconductor device and method for the manufacture thereof.
  374. Matsumoto, Akira; Fukase, Tadashi; Iguchi, Manabu, Semiconductor device and method of fabricating semiconductor device with high CMP uniformity and resistance to loss that occurs in dicing.
  375. Shibayama, Akinori, Semiconductor device and semiconductor memory device.
  376. Makino, Hiroshi, Semiconductor device cell having regularly sized and arranged features.
  377. Okamoto, Atsushi; Takaramoto, Toshiharu, Semiconductor device comprising transistor pair isolated by trench isolation.
  378. Scott M. Mansfield ; Lars W. Liebmann ; Shahid Butt ; Henning Haffner, Semiconductor device fabrication using a photomask with assist features.
  379. Becker, Scott T.; Smayling, Michael C., Semiconductor device having 1965 nm gate electrode level region including at least four active linear conductive segments and at least one non-gate linear conductive segment.
  380. Kanamoto, Toshiki; Ajioka, Yoshihide; Shimazu, Yukihiko; Hamada, Hideyuki, Semiconductor device having a library of standard cells and method of designing the same.
  381. Becker, Scott T.; Smayling, Michael C., Semiconductor device having at least four side-by-side electrodes of equal length and equal pitch with at least two transistor connections to power or ground.
  382. Becker, Scott T.; Smayling, Michael C., Semiconductor device having at least three linear-shaped electrode level conductive features of equal length positioned side-by-side at equal pitch.
  383. Or-Bach, Zvi; Cooke, Laurance, Semiconductor device having borderless logic array and flexible I/O.
  384. Shibutani, Koji, Semiconductor device having cell-based basic element aggregate having protruding part in active region.
  385. Becker, Scott T.; Smayling, Michael C., Semiconductor device having linear-shaped gate electrodes of different transistor types with uniformity extending portions of different lengths.
  386. Ryoo,Man Hyoung; Yeo,Gi Sung; Lee,Si Hyeung; Kim,Gyu Chul; Jung,Sung Gon; Park,Chang Min; Cho,Hoo Sung, Semiconductor device having sufficient process margin and method of forming same.
  387. Becker, Scott T.; Smayling, Michael C., Semiconductor device having two pairs of transistors of different types formed from shared linear-shaped conductive features with intervening transistors of common type on equal pitch.
  388. Becker, Scott T.; Smayling, Michael C., Semiconductor device including at least six transistor forming linear shapes including at least two different gate contact connection distances.
  389. Becker, Scott T.; Smayling, Michael C., Semiconductor device including at least six transistor forming linear shapes including at least two transistor forming linear shapes having different extension distances beyond gate contact.
  390. Becker, Scott T.; Smayling, Michael C., Semiconductor device including at least six transistor forming linear shapes with at least two transistor forming linear shapes having offset ends.
  391. Inaba, Satoshi, Semiconductor device including n-type and p-type FinFET's constituting an inverter structure.
  392. Becker, Scott T.; Smayling, Michael C., Semiconductor device including transistor forming linear shapes including gate portions and extending portions of different size.
  393. Becker, Scott T.; Smayling, Michael C., Semiconductor device including two transistors of first type having gates formed by conductors of different length respectively aligned with two transistors of second type having gates formed by conductors of different length.
  394. Suzuki, Fumiaki, Semiconductor device with NMOS transistors arranged continuously.
  395. Becker, Scott T.; Smayling, Michael C., Semiconductor device with dynamic array section.
  396. Becker, Scott T.; Smayling, Michael C., Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos.
  397. Houston, Theodore W.; Joyner, Keith A., Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device.
  398. Becker, Scott T.; Smayling, Michael C., Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region and having at least two gate contacts positioned outside separating non-diffusion region.
  399. Becker, Scott T.; Smayling, Michael C., Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region with restricted gate contact placement over separating non-diffusion region.
  400. Becker, Scott T.; Smayling, Michael C., Semiconductor device with gate level including transistors of first type and transistors of second type with corresponding gate contact placement restriction.
  401. Sakemi Kazuhiro,JPX ; Kikuda Shigeru,JPX ; Kawasaki Satoshi,JPX, Semiconductor device with improved noise resistivity.
  402. Becker, Scott T.; Smayling, Michael C., Semiconductor device with linearly restricted gate level region including four serially connected transistors of first type and four serially connected transistors of second type separated by non-diffusion region.
  403. Becker, Scott T.; Smayling, Michael C., Semiconductor device with linearly restricted gate level region including four transistors of first type and four transistors of second type with gate defining shapes of different length.
  404. Becker, Scott T.; Smayling, Michael C., Semiconductor device with linearly restricted gate level region including two transistors of first type and two transistors of second type with offset gate contacts.
  405. Kinoshita,Koichi, Semiconductor integrated circuit.
  406. Takayama, Kazuhisa, Semiconductor integrated circuit and semiconductor integrated circuit wiring layout method.
  407. Kinoshita, Eita; Mizuno, Makoto, Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same.
  408. Kawamata,Tsuneo; Hasegawa,Masatoshi; Toriyama,Keinosuke; Hokari,Tomofumi, Semiconductor integrated circuit device.
  409. Ohkura Isao (Itami JPX) Miyashima Shinichi (Kamakura JPX) Enomoto Tatsuya (Itami JPX), Semiconductor integrated circuit device.
  410. Tanaka, Yousuke; Hokari, Tomofumi; Hasegawa, Masatoshi, Semiconductor integrated circuit device.
  411. Ono,Yukichi, Semiconductor integrated circuit device and its manufacture using automatic layout.
  412. Ono,Yukichi, Semiconductor integrated circuit device and its manufacture using automatic layout.
  413. Yamauchi, Hiroyuki, Semiconductor integrated circuit device and method for designing the same.
  414. Tamaki, Tokuhiko; Kawashima, Koichi; Sakurai, Yasuo; Tateiwa, Kenji, Semiconductor integrated circuit device and method for fabricating the same.
  415. Ikeda, Shuji; Yamanaka, Toshiaki; Kikushima, Kenichi; Mitani, Shinichiro; Sato, Kazushige; Fukami, Akira; Iida, Masaya; Shimizu, Akihiro, Semiconductor integrated circuit device and process for manufacturing the same.
  416. Yano Kazuo (Hino JPX) Sasaki Yasuhiko (Kokubunji JPX), Semiconductor integrated circuit device and production method thereof.
  417. Sakamoto, Shinsuke; Yamaguchi, Akira, Semiconductor integrated circuit device and standard cell placement design method.
  418. Murata, Jun; Tadaki, Yoshitaka; Asano, Isamu; Horiuchi, Mitsuaki; Sugiura, Jun; Kaneko, Hiroko; Shimizu, Shinji; Hiraiwa, Atsushi; Ogishi, Hidetsugu; Sagawa, Masakazu; Ozawa, Masami; Sekiguchi, Toshi, Semiconductor integrated circuit device having switching misfet and capacitor element and method of producing the same, including wiring therefor and method of producing such wiring.
  419. Tanaka, Yousuke; Hokari, Tomofumi; Hasegawa, Masatoshi, Semiconductor integrated circuit device operating at high speed and low power consumption.
  420. Yuyama Kyoji (Shiroyama JPX) Kawaji Mikinori (Hino JPX), Semiconductor integrated circuit device with improved connection pattern of signal wirings.
  421. Igarashi Mutsunori,JPX ; Mitsuhashi Takashi,JPX ; Murakata Masami,JPX ; Yamada Masaaki,JPX ; Minami Fumihiro,JPX ; Akiyama Toshihiro,JPX ; Aoki Takahiro,JPX, Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method.
  422. Kono Ichiro,JPX ; Yano Kazuo,JPX ; Kato Naoki,JPX, Semiconductor integrated circuit for low power and high speed operation.
  423. Fudanuki Nobuo,JPX ; Sei Toshikazu,JPX, Semiconductor integrated circuit with mixed gate array and standard cell.
  424. Ghandehari,Kouros; Yang,Jean Y.; Spence,Christopher A., Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types.
  425. Ishikura, Satoshi; Satomi, Katsuji, Semiconductor memory device.
  426. Iwaki Hiroaki,JPX ; Kumagai Kouichi,JPX, Semiconductor memory device.
  427. Koji Nii JP; Atsushi Miyanishi JP, Semiconductor memory device.
  428. Nii,Koji; Obayashi,Shigeki; Makino,Hiroshi; Ishibashi,Koichiro; Shinohara,Hirofumi, Semiconductor memory device.
  429. Sakuma Shinzo (Tokyo JPX) Miyamoto Sampei (Tokyo JPX), Semiconductor memory device.
  430. Tsuyoshi Yanai JP; Yoshio Kajii JP; Takashi Ohkawa JP, Semiconductor memory device.
  431. Nakase, Yasunobu; Nii, Koji, Semiconductor memory device capable of generating internal data read timing precisely.
  432. Aritome Seiichi,JPX, Semiconductor memory device comprising multi-level logic value of the threshold voltage.
  433. Sakuma Shinzo,JPX ; Miyamoto Sampei,JPX, Semiconductor memory device employing an improved layout of sense amplifiers.
  434. Hara Hiroyuki,JPX ; Matsui Masataka, Semiconductor memory device having two P-well layout structure.
  435. Tanaka,Yousuke; Hokari,Tomofumi; Hasegawa,Masatoshi, Semiconductor memory device operating at high speed and low power consumption.
  436. Hayashi Fumihiko,JPX, Semiconductor memory device with a two-layer top gate.
  437. Yamaoka, Masanao; Osada, Kenichi; Ishibashi, Koichiro, Semiconductor memory device with memory cells operated by boosted voltage.
  438. Naya, Hidemitsu; Tomiyoshi, Rikio; Moriyama, Shigeo; Kikuchi, Mutsumi; Shimamura, Kotaro, Semiconductor production system.
  439. Naya, Hidemitsu; Tomiyoshi, Rikio; Moriyama, Shigeo; Kikuchi, Mutsumi; Shimamura, Kotaro, Semiconductor production system.
  440. Tomita, Hidemoto; Ohbayashi, Shigeki; Ishigaki, Yoshiyuki, Semiconductor storage device and method of fabricating the same.
  441. Tomita, Hidemoto; Ohbayashi, Shigeki; Ishigaki, Yoshiyuki, Semiconductor storage device and method of fabricating the same.
  442. Schober Robert C., Serial device compaction for improving integrated circuit layouts.
  443. Wewalaarachchi, Bandu J.; Gunasekera, M. V. Priyantha; Gunasingham, Haritharan; Liyanarachchi, Rohana, Service-oriented community agent.
  444. Falbo,James K.; Malhotra,Vinod K.; Balasingam,Pratheep; Zulch,Donald, Shape-based geometry engine to perform smoothing and other layout beautification operations.
  445. Cote,Michel; Rieger,Michael; Hurat,Philippe; Lugg,Robert; Mayhew,Jeff, Silicon tolerance specification using shapes as design intent markers.
  446. Lancaster Loren T. ; Hirose Ryan T., Single poly memory cell and array.
  447. Boluki, Human; Mbouombouo, Benjamin; Leyrer, Johann, Split and merge design flow concept for fast turnaround time of circuit layout design.
  448. Katsioulas, Athanassios; Chow, Stan; Avidan, Jacob; Fotakis, Dimitris, Standard block architecture for integrated circuit design.
  449. C척t챕,Michel L.; Pierrat,Christophe, Standard cell design incorporating phase information.
  450. Tsutsumi, Masanori; Yano, Junichi; Kimura, Fumihiro; Matsuda, Masayuki, Standard cell for plural power supplies and related technologies.
  451. Zhang,Xiaonan; Wang,Michael Xiaonan, Standard cell library having cell drive strengths selected according to delay.
  452. Zhang,Xiaonan, Standard cell library having globally scalable transistor channel length.
  453. Yano, Junichi, Standard cell, standard cell library, and semiconductor integrated circuit with suppressed variation in characteristics.
  454. DeJong Jan L. (San Jose CA), Static memory with self aligned contacts and split word lines.
  455. Yang, Lie-Yong; Chang, Feng-Ming; Yang, Chang-Ta; Wang, Ping-Wei, Static random access memory (SRAM) cell and method for forming same.
  456. Chang-bong Oh KR, Static random access memory device and method for manufacturing the same.
  457. Hirotada Kuriyama JP; Shigenobu Maeda JP, Static semiconductor memory cell formed in an n-well and p-well.
  458. Chanda,Kaushik; Agarwala,Birendra; Clevenger,Lawrence A.; Cowley,Andrew P.; Filippi,Ronald G.; Gill,Jason P.; Lee,Tom C.; Li,Baozhen; McLaughlin,Paul S.; Nguyen,Du B.; Rathore,Hazara S.; Sullivan,Timothy D.; Yang,Chih Chao, Structure and method for monitoring stress-induced degradation of conductive interconnects.
  459. Mahoney John E. (San Jose CA), Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-c.
  460. Or Bach, Zvi; Wurman, Ze'ev; Levinthal, Adam, Structured integrated circuit device.
  461. Wallace, Charles H.; Nyhus, Paul A.; Sivakumar, Swaminathan (Sam), Sub-resolution assist features.
  462. Wallace, Charles H.; Jang, Chiou hung, Sub-resolution assist features for photolithography with trim ends.
  463. Leung, Hardy Kwok-Shing; Nijssen, Raymond X., Subgrid detailed routing.
  464. Anderson,Brent A.; Breitwisch,Matthew J.; Nowak,Edward J., Substrate backgate for trigate FET.
  465. Singh, Balvinder; O'Riordan, Donald; Arsintescu, Bogdan George; Goel, Alka; Deshpande, Devendra Ramakant, System and method for circuit schematic generation.
  466. Rittman, Danny; Oren, Micha, System and method for generating a mask layout file to reduce power supply voltage fluctuations in an integrated circuit.
  467. Khakzadi,Khosro; Tremel,Chris J.; Dillon,Michael N., System and method for mapping logical components to physical locations in an integrated circuit design environment.
  468. Pasch Nicholas (Pacifica CA) Eib Nicholas (San Jose CA) Dong Jeffrey (Milpitas CA), System and method for performing optical proximity correction on macrocell libraries.
  469. Nicholas F. Pasch ; Nicholas K. Eib ; Colin D. Yates ; Shumay Dou, System and method for performing optical proximity correction on the interface between optical proximity corrected cells.
  470. Stine, Brian E.; Hess, Christopher; Weiland, Larg H.; Ciplickas, Dennis J.; Kibarian, John, System and method for product yield prediction using a logic characterization vehicle.
  471. Stine, Brian E.; Stashower, David M.; Lee, Sherry F.; Weiner, Kurt H., System and method for product yield prediction using device and process neighborhood characterization vehicle.
  472. Chew, Marko P.; Yang, Yue, System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells.
  473. Kroyan, Armen; Zhang, Youping; Morita, Etsuya; Ligtenberg, Adrianus, System for designing integrated circuits with enhanced manufacturability.
  474. Arnold Ginetti,FRX ; Silve Francois,FRX ; Raj Satish, System to fix post-layout timing and design rules violations.
  475. Kriplani,Harish; Huang,Shiang Tang, Systems, methods, and apparatus to perform statistical static timing analysis.
  476. Rana,Amar Pal Singh; Singh,Nirmal, Technology dependent transformations for CMOS in digital design synthesis.
  477. Allen,Robert J.; Endicott,Cam V.; Heng,Fook Luen; Hibbeler,Jason D.; McCullen,Kevin W.; Narayan,Rani; Walker,Robert F.; Yuan,Xin, Technology migration for integrated circuits with radical design restrictions.
  478. Joshi,Rajiv V.; Kang,Sukhvinder S., Temperature sensor for high power very large scale integration circuits.
  479. White,David; Smith,Taber H., Test masks for lithographic and etch processes.
  480. Chu Albert M. (Essex Junction VT) Griffin William R. (Shelburne VT), Transistor delay circuits.
  481. Booth, Jr., Roger A.; Cheng, Kangguo; Mandelman, Jack A., Tunneling effect transistor with self-aligned gate.
  482. Komaki,Masaki, Unit cell of semiconductor integrated circuit and wiring method and wiring program using unit cell.
  483. Pillarisetty, Ravi; Datta, Suman; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday, Unity beta ratio tri-gate transistor static random access memory (SRAM).
  484. Smith,Taber H.; Mehrotra,Vikas; White,David, Use of models in integrated circuit fabrication.
  485. Buehler,Markus T.; Cohn,John M.; Hathaway,David J.; Hibbeler,Jason D.; Koehl,Juergen, Use of redundant routes to increase the yield and reliability of a VLSI layout.
  486. Hsieh, Ren-Guey, Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity.
  487. Kemerling,James C.; Ihme,David; Cox,William D, VIA configurable architecture for customization of analog circuitry in a semiconductor device.
  488. Tang, Xiaoping; Yuan, Xin, VLSI artwork legalization for hierarchical designs with multiple grid constraints.
  489. Kusunoki Mitsugu,JPX ; Tamba Nobuo,JPX, Variable logic integrated circuit device having connections through switch matrix and top layers for inter-cell connecti.
  490. Chang Hsueh-Rong (Scotia NY) Baliga Bantval J. (Schenectady NY) Chow Tat-Sing P. (Schenectady NY), Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method.
  491. Chen,Jong, Via layout with via groups placed in interlocked arrangement.
  492. Templeton, Mark; Gandhi, Dhrumil, Yield maximization in the manufacture of integrated circuits.

이 특허를 인용한 특허 (1)

  1. Qian, Qi-De, Integrated circuits having in-situ constraints.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로