$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Energy-focused compiler-assisted branch prediction 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G06F-009/45
  • G06F-009/30
  • G06F-009/44
출원번호 US-0037663 (2005-01-18)
등록번호 US-8607209 (2013-12-10)
발명자 / 주소
  • Chheda, Saurabh
  • Carver, Kristopher
  • Ashok, Raksit
출원인 / 주소
  • BlueRISC Inc.
대리인 / 주소
    Fish & Richardson P.C.
인용정보 피인용 횟수 : 10  인용 특허 : 235

초록

A processor framework includes a compiler to add control information to an instruction sequence at compile time. The control information is added in the instruction sequence prior to a control-flow changing instruction. Microarchitecture is configured to use the control information at runtime to pre

대표청구항

1. A method for use with a processor, comprising: adding control information to an instruction sequence at compile time, the control information being added in the instruction sequence prior to a control-flow changing instruction, the control-flow changing instruction for changing a control flow of

이 특허에 인용된 특허 (235)

  1. Radigan James J. (Sunnyvale CA) Schwartz David A. (Moorpark CA), Activity masking with mask context of SIMD processors.
  2. Jan Van Lunteren CH, Address mapping for system memory.
  3. Briggs Willard S. (Carrollton TX) Gant Alan D. (Dallas TX) Gupta Parveen K. (Carrollton TX) Ferson Isadore S. (Austin TX), Address-controlled automatic bus arbitration and address modification.
  4. van Hook Timothy J. ; Hsu Peter ; Huffman William A. ; Moreton Henry P. ; Killian Earl A., Alignment and ordering of vector elements for single instruction multiple data processing.
  5. McAuliffe Keven P. (Yorktown Heights NY) Melton Evelyn A. (Poughkeepsie NY) Norton Vern A. (Croton-On-Hudson NY) Pfister Gregoty F. (Briarcliff Manor NY) Wakefield Scott P. (Croton-On-Hudson NY), Aperiodic mapping system using power-of-two stride access to interleaved devices.
  6. Mahalingaiah Rupaka ; Tran Thang M., Apparatus for efficiently providing memory operands for instructions.
  7. Lal,Vishal; Singhal,Umesh; Chakravorthy,Jyothirmoy; Reddy,Rajesh T S, Application rights management in a mobile environment.
  8. O\Keefe David B. (Tyngsboro MA) Cassarino ; Jr. Frank V. (Weston MA) Riikonen Douglas L. (Westford MA), Architecture for a microprogrammed device controller.
  9. DeWitt, Jr.,Jimmie Earl; Levine,Frank Eliot; Richardson,Christopher Michael; Urquhart,Robert John, Autonomic method and apparatus for counting branch instructions to generate branch statistics meant to improve branch predictions.
  10. Nunziata Ann B., Bank selection logic for memory controllers.
  11. Blomgren James S. (San Jose CA) Cohen Earl T. (Fremont CA) Baird Brian R. (Pleasanton CA), Block-based branch prediction using a target finder array storing target sub-addresses.
  12. Sivaram Krishnan ; Sebastian Haviuj Ziesler, Branch instruction mechanism for processor.
  13. Sites Richard Lee ; Witek Richard T., Branch prediction in high-performance processor.
  14. Cummins Sean P. ; Munson Kenneth K., Branch prediction mechanism.
  15. Tetsuya Tanaka JP; Takao Yamamoto JP, Branch prediction method and processor using origin information, relative position information and history information.
  16. Redford, John, Branching around conditional processing if states of all single instruction multiple datapaths are disabled and the computer program is non-deterministic.
  17. Narita Susumu (Kokubunji JPX) Arakawa Fumio (Tokyo JPX) Uchiyama Kunio (Kodaira JPX) Aoki Hirokazu (Hachioji JPX), Branching system for return from subroutine using target address in return buffer accessed based on branch type informat.
  18. Rosich Mitchell N. (Acton MA), BuIffet for gathering write requests and resolving read conflicts by matching read and write requests.
  19. Kimura Shinya (Tokyo JPX), Bus state control circuit.
  20. Van De Waerdt, Jan-Willem; Stravers, Paul, Cache way prediction based on instruction base register.
  21. Weinberg Tobias M. (Somerville MA) Tennies Lisa A. (Bedford MA) Vasilevsky Alexander D. (Watertown MA), Compiling a source code vector instruction by generating a subgrid loop for iteratively processing array elements by plu.
  22. Dmitry M. Maslennikov RU; Valentine G. Tikhonov RU; Alexander I. Kasinsky RU; Vladimir Y. Volkonsky RU, Computer method and apparatus for compilation of multi-way decisions.
  23. Drake Christopher Nathan,AUX, Computer software authentication, protection, and security system.
  24. Daniel Richard A. (Escondido CA) Rowson Stuart C. (Escondido CA) Barnhart James E. (St. Peters MO) Paek Woonsuk (Fremont CA), Computer system clock generator for generating tuned multiple clock signals.
  25. Kirk David Brian, Computer system with private and shared partitions in cache.
  26. Bruinshorst Berend T. J. (Eindhoven NLX), Computer system with scannable program memory.
  27. Puziol David L. ; Van Dyke Korbin S. ; Widigen Larry ; Shar Len ; Smith ; III Walstein Bennett, Configurable branch prediction for a processor performing speculative execution.
  28. Puziol, David L.; Van Dyke, Korbin S.; Widigen, Larry; Shar, Len; Smith, III, Walstein Bennett, Configurable branch prediction for a processor performing speculative execution.
  29. Dey Sujit ; Raghunathan Anand ; Jha Niraj K., Controller-based power management for low-power sequential circuits.
  30. Moritz, Csaba Andras; Krishna, Mani; Koren, Israel; Unsal, Osman Sabri, Controlling a processor resource based on a compile-time prediction of number of instructions-per-cycle that will be executed across plural cycles by the processor.
  31. Santhanam Vatsa, Cost-sensitive SSA-based strength reduction algorithm for a machine with predication support and segmented addresses.
  32. Morton Steven G., DSP having a plurality of like processors controlled in parallel by an instruction word, and a control processor also c.
  33. Tran, Thang M., Data address prediction structure and a method for operating the same.
  34. Yoshida Shinichi,JPX ; Muramatsu Tsuyoshi,JPX, Data driven information processor.
  35. Nomura Shingo (Nabari JPX), Data flow processor with variable logic connection between processors in a system.
  36. Golliver Roger A. ; Dulong Carole, Data manipulation instruction for enhancing value and efficiency of complex arithmetic.
  37. Takefumi Yoshikawa JP; Hironori Akamatsu JP; Satoshi Takahashi JP, Data processor and data processing system with internal memories.
  38. Biggs Terry L. (Dripping Springs TX) Lagana Antonio A. (Austin TX), Data processor having a cache memory capable of being used as a linear ram bank.
  39. Ota,Ken; Kochi,Toshiyuki, Data processor with changeable architecture.
  40. Gotou Shizuo (Hachiouji JPX) Kagimasa Toyohiko (Kokubunji JPX) Yoshizumi Seiichi (Hino PA JPX) Shintani Yooichi (Pittsburgh PA), Data processor with control of the significant bit lengths of general purpose registers.
  41. Sager David J., Data speculatable processor having reply architecture.
  42. Bright, Arthur A.; Fritts, Jason E., Decoupled fetch-execute engine with static branch prediction support.
  43. Matoba Tsukasa (Kawasaki JPX) Aikawa Takeshi (Tokyo JPX) Okamura Mitsuyoshi (Tokyo JPX) Maeda Ken-ichi (Kamakura JPX) Saito Mitsuo (Yokosuka JPX), Device for saving and restoring register information.
  44. Li, Jin; Cui, Yi, Digital rights management scheme for an on-demand distributed streaming system.
  45. Park Sung Bae,KRX, Dual instruction set architecture.
  46. Blomgren James S. (San Jose CA), Dual instruction set processor having a pipeline with a pipestage functional unit that is relocatable in time and sequen.
  47. Blomgren James S. (San Jose CA), Dual-architecture super-scalar pipeline.
  48. Blomgren James S. ; Richter David E., Dual-instruction-set architecture CPU with hidden software emulation mode.
  49. Goldberg Edward S. (North Woodmere NY), Dynamic alteration of firmware programs in Read-Only Memory based systems.
  50. Yeh Tse-Yu ; Poplingher Mircea ; Chen Wenliang ; Mulder Hans, Dynamic branch prediction for branch instructions with multiple targets.
  51. Gerald D. Zuraski, Jr. ; James S. Roberts ; Raghuram S. Tupuri, Dynamic classification of conditional branches in global history branch prediction.
  52. Kramskoy,Jeremy Paul; Charnell,William Thomas; Darnell,Stephen; Dias,Blaise Abel Alec; Guthrie,Philippa Joy; Plummer,Wayne; Sexton,Jeremy James; Wynn,Michael John; Rautenback,Keith; Thomas,Stephen Pa, Dynamic compiler and method of compiling code to generate dominant path and to handle exceptions.
  53. Odaohhara, Shigefumi; Naitoh, Arimasa, Dynamic power consumption control for a computer or other electronic apparatus.
  54. Datar, Rajendra; Ghanekar, Sachin; Gogte, Ravindra; Gracias, Sebastian, Dynamically activating and deactivating selected circuit blocks of a data processing integrated circuit during execution of instructions according to power code bits appended to selected instructions.
  55. Shiell Jonathan H. ; Cai George Z. N., Dynamically loadable pattern history tables in a multi-task microprocessor.
  56. Santhanam Vatsa (Campbell CA), Efficient explicit data prefetching analysis and code generation in a low-level optimizer for inserting prefetch instruc.
  57. Richter David E. (San Jose CA) Pattin Jay C. (Redwood City CA) Blomgren James S. (San Jose CA), Emulating operating system calls in an alternate instruction set using a modified code segment descriptor.
  58. Dubey Pradeep Kumar ; Barton Charles Marshall ; Chuang Chiao-Mei ; Lam Linh Hue ; O'Brien John Kevin ; O'Brien Kathryn Mary, Executing speculative parallel instructions threads with forking and inter-thread communication.
  59. Sullivan Timothy J. (Clinton MA) Burns Cynthia J. (Franklin MA) Andrade Albert T. (North Grafton MA) Frangioso ; Jr. Ralph C. (Franklin MA), Expandable memory system and method for interleaving addresses among memory banks of different speeds and sizes.
  60. Holler Anne M. ; Shah Lacky V., Exploiting untagged branch prediction cache by relocating branches.
  61. Moore Victor S. (Deerfield Beach FL) Kraft Wayne R. (Coral Springs FL) Rhodes ; Jr. Joseph C. (Boca Raton FL) Stahl ; Jr. William L. (Coral Springs FL), Flexible processor on a single semiconductor substrate using a plurality of arrays.
  62. Moore Charles H. (Woodside CA) Murphy Robert W. (Scottsdale AZ), Forth specific language microprocessor.
  63. Katsura Koyo,JPX ; Matsuo Shigeru,JPX ; Sato Jun,JPX ; Sone Takashi,JPX ; Yokota Yoshikazu,JPX ; Kikuchi Masahiko,JPX, Graphic processing having apparatus for outputting FIFO vacant information.
  64. Lawrence Richard J. (3353 Howard Common Fremont CA 94356), Hardware mechanism for computer software security.
  65. Moore Charles H. (Woodside CA) Fish ; III Russell H. (Mt. View CA), High performance, low cost microprocessor architecture.
  66. Barnett, Howard S.; Cochran, Michael J.; Poland, Sid, High speed processor.
  67. Kao Ming-Luh (Miami FL) Cheng Jong-Keung (Miami FL), High speed program store with bootstrap.
  68. Lee De-Lei (Thornhill CAX), High-performance interleaved memory system comprising a prime number of memory modules.
  69. Magar, Surendar S., High-speed multiplier for microcomputer used in digital signal processing system.
  70. Fijany Amir (Sherman Oaks CA) Bejczy Antal K. (Pasadena CA), Highly parallel reconfigurable computer architecture for robotic computation having plural processor cells each having r.
  71. Henry, G. Glenn; Parks, Terry, Hybrid branch predictor with improved selector table update mechanism.
  72. Hecker Mark B. (Northborough MA), Input/output device controller for a data processing system.
  73. Lazaravich Robert V. (Chandler AZ) Kuester Jill L. (Mesa AZ), Instruction accelerator for processing loop instructions with address generator using multiple stored increment values.
  74. George Steven L. (Endicott NY), Instruction fetch look-aside buffer with loop mode control.
  75. Porter ; Marion G. ; Patterson ; Garvin W., Instruction look ahead having prefetch concurrency and pipeline features.
  76. Oklobdzija Vojin G. (Putnam County NY) Ling Daniel T. (Westchester County NY), Instruction prefetch buffer control.
  77. Yeh Tse-Yu ; Poplingher Mircea ; Fielden Kent G. ; Mulder Hans ; Gupta Rajiv ; Morris Dale ; Schlansker Michael, Instruction prefetch mechanism utilizing a branch predict instruction.
  78. Carmelo Condemi IT; Fabrizio Campanale IT; Salvatore Nicosia IT; Francesco Tomaiuolo IT; Luca Giuseppe De Ambroggi IT; Promod Kumar IT, Interleaved memory device for sequential access synchronous reading with simplified address counters.
  79. Koetje Anno J,GBX ; Ranta Jukka,FIX ; Wilson Alice,GBX, Interleaving and de-interleaving of data in telecommunications.
  80. Luick,David A., Local and global branch prediction information storage.
  81. Redford, John, Loop handling for single instruction multiple datapath processor architectures.
  82. Orenstien, Doron; Ronen, Ronny, Low-power processor hint, such as from a PAUSE instruction.
  83. James H. Jackson ; Michael W. Kleeman ; Georges Melhem ; Sanjeev Mohindra, MIMD arrangement of SIMD machines.
  84. Cook,Thomas E; Govett,Ian R; Kim,Suhwan; Kosonocky,Stephen V.; Sandon,Peter A., Machine code builder derived power consumption reduction.
  85. Grondalski Robert S. (Maynard MA), Massively parallel array processing system.
  86. Grondalski Robert S. (Maynard MA), Massively parallel array processing system with processors selectively accessing memory module locations using address i.
  87. Wade Jon P. ; Cassiday Daniel R. ; Lordi Robert D. ; Steele ; Jr. Guy Lewis ; St. Pierre Margaret A. ; Wong-Chan Monica C. ; Abuhamdeh Zahi S. ; Douglas David C. ; Ganmukhi Mahesh N. ; Hill Jeffrey V, Massively parallel computer including auxiliary vector processor.
  88. Mikko Herman Lipasti, Memory access request reordering to reduce memory access latency.
  89. Kelly Edmund J. (San Jose CA), Memory access system.
  90. Murata Koh (Tokyo JPX), Memory access system and method modifying a memory interleaving scheme so that data can be read in any sequence without.
  91. Gilbert Ira H., Mesh parallel computer architecture apparatus and associated methods.
  92. Gilbert Ira H. (Carlisle MA), Mesh parallel computer architecture apparatus and associated methods.
  93. Dubey Pradeep Kumar, Method and apparatus for biasing cache LRU for prefetched instructions/data based upon evaluation of speculative condit.
  94. Aldridge, Tomm; Bodas, Devadatta V., Method and apparatus for compiler assisted power management.
  95. Guffens, Jan; Du Pont, Kurt, Method and apparatus for compiling source code by flattening hierarchies.
  96. Redford John, Method and apparatus for decoding JPEG symbols.
  97. Hayden Peter C. (Mont Vernon NH), Method and apparatus for detecting and executing cross-domain calls in a computer system.
  98. Redford John, Method and apparatus for determining discrete cosine transforms using matrix multiplication and modified booth encoding.
  99. Haghighat Mohammad R. ; Girkar Milind, Method and apparatus for finding loop-- lever parallelism in a pointer based application.
  100. Kan Larry Yiucham ; Anderson William C. ; Hung Chuan-Chang ; Bell Meltin, Method and apparatus for moving data in a parallel processor.
  101. Redford John, Method and apparatus for optimizing storage of compressed images in memory.
  102. Redford John, Method and apparatus for optimizing storage of compressed images in memory.
  103. Redford John L., Method and apparatus for performing a masked byte addition operation.
  104. Yates John S. ; Tye Steven Tony ; Hookway Raymond J., Method and apparatus for performing binary translation.
  105. Redford John L., Method and apparatus for performing concurrent write operations to a single-write-input register file and an accumulator.
  106. Law Patrick Y. (Milpitas CA), Method and apparatus for providing data to a parallel processing array.
  107. Hu King Seng (Penang MYX) Liew Vui Yong (Penang MYX), Method and apparatus for providing power saving modes to a pipelined processor.
  108. Hammond Gary ; Alpert Donald ; Kahn Kevin ; Sharangpani Harsh, Method and apparatus for providing two system architectures in a processor.
  109. Mowry Todd C. (Palo Alto CA) Killian Earl A. (Los Altos CA), Method and apparatus for reducing delays following the execution of a branch instruction in an instruction pipeline.
  110. Scaralata, Vincent R., Method and apparatus for remotely provisioning software-based security coprocessors.
  111. Klebe,Skott C.; Boyea,John, Method and apparatus for secure delivery and rights management of digital content at an unsecure site.
  112. De Greef Eddy,BEX ; Catthoor Francky,BEX ; De Man Hugo,BEX, Method and apparatus for size optimization of storage units.
  113. Redford John, Method and apparatus utilizing a simplified content-addressable memory for JPEG decoding.
  114. Prame Eric S. (Lidingo SEX), Method and device for addressing a memory.
  115. Dubey Pradeep Kumar,INX ; Olsson Brett ; Hochsprung Ronald Ray ; Scales ; III Hunter Ledbetter ; Diefendorff Keith Everett, Method and system for a result code for a single-instruction multiple-data predicate compare operation.
  116. Rappoport, Lihu; Ronen, Ronny; Kacevas, Nicolas; Lempel, Oded, Method and system for branch target prediction using path information.
  117. Mallick Soummya ; McDonald Robert G. ; Swarthout Edward L., Method and system for constructing a program including a navigation instruction.
  118. Patel Rajesh Bhikhubhai ; Jessani Romesh Mangho ; Kuttana Belliappa Manavattira, Method and system for dynamically sharing cache capacity in a microprocessor.
  119. Kahle James A. ; Mallick Soummya ; McDonald Robert G. ; Swarthout Edward L., Method and system for executing a program within a multiscalar processor by processing linked thread descriptors.
  120. Mohan, Sundararajarao; Ganesan, Satish R.; Bilski, Goran, Method and system for function acceleration using custom instructions.
  121. Agarwal Ramesh Chandra ; Groves Randall Dean ; Gustavson Fred Gehrung ; Johnson Mark Alan ; Olsson Brett, Method and system for providing a single-instruction, multiple-data execution unit for performing single-instruction, mu.
  122. Lorie Raymond A. (San Jose CA) Strong ; Jr. Hovey R. (San Jose CA), Method for conditional branch execution in SIMD vector processors.
  123. Nishiyama Hiroyasu,JPX ; Kikuchi Sumio,JPX ; Mori Noriyasu,JPX ; Nishimoto Akira,JPX ; Takeuchi Yooichi,JPX, Method for controlling a processor for power-saving in a computer for executing a program, compiler medium and processo.
  124. Halahmi Dror,ILX ; Salant Yoram,ILX, Method for digital signal processing, DSP, mobile communication and audi o-device.
  125. Lopez-Aguado Herbert ; Chiacchia Denise ; Lauterbach Gary, Method for invalidating data identified by software compiler.
  126. Sharangpani, Harshvardhan; Yeh, Tse-Yu; Corwin, Michael Paul; Mittal, Millind; Fielden, Kent G.; Morris, Dale; Gupta, Rajiv; Schlansker, Michael; Poplingher, Mircea, Method for processing branch operations.
  127. Hillis W. Daniel (Cambridge MA) Lasser Clifford (Boston MA) Kahle Brewster (Somerville MA) Sims Karl (Somerville MA), Method of simulating additional processors in a SIMD parallel processor array.
  128. Goebel Kurt Joachim, Method, apparatus and computer programmed product for binary re-optimization using a high level language compiler.
  129. Wu,Youfeng; Chen,Li Ling, Methods and apparatus for compiler managed first cache bypassing.
  130. Lueh,Guei Yuan; Adl Tabatabai,Ali Reza; Shpeisman,Tatiana, Methods and apparatus for optimizing the operating speed and size of a computer program.
  131. Revilla Juan Guillermo ; Barry Edwin F. ; Marchand Patrick Rene ; Pechanek Gerald G., Methods and apparatus to dynamically reconfigure the instruction pipeline of an indirect very long instruction word scalable processor.
  132. Wong,Derek Chi Lan, Methods for increasing instruction-level parallelism in microprocessors and digital system.
  133. Kimoto Manabu (Tokyo JPX) Nishiguchi Yukihiro (Tokyo JPX), Microcomputer capable of accessing internal memory at a desired variable access time.
  134. Caudel Edward R. (Houston TX) Magar Surendar S. (Houston TX), Microcomputer system for digital signal processing.
  135. Ozga ; Stanley Edward, Microprocessor architecture.
  136. Kromer, III, Philip F., Microprocessor architecture employing efficient operand and instruction addressing.
  137. Bartkowiak John G., Microprocessor configured to switch instruction sets upon detection of a plurality of consecutive instructions.
  138. Chamberlin George P. (Tempe AZ), Microprocessor having instruction fetch and execution overlap.
  139. Takahira, Kenichi; Yamaguchi, Atsuo; Furuta, Shigeru; Inoue, Takesi; Matsubara, Toshiyuki; Fujioka, Shuzo, Microprocessor having miswriting preventing function.
  140. Takenaka Tsutomu (Tokyo JPX), Microprocessor system.
  141. Shiell Jonathan H., Microprocessor using combined actual and speculative branch history prediction.
  142. Puhl Larry C. (Sleepy Hollow IL), Microprocessor with duplicate registers for processing interrupts.
  143. Maher Robert (Carrollton TX) Garibay ; Jr. Raul A. (Plano TX) Herubin Margaret R. (Coppell TX) Bluhm Mark (Carrollton TX), Microprocessor with externally controllable power management.
  144. Chang Ki S. (Houston TX) Patrick Michael W. (Houston TX) Sacarisen Stephen P. (Houston TX) Stambaugh Mark A. (Houston TX), Microprocessor with integrated CPU, RAM, timer, and bus arbiter for data communications systems.
  145. Garlic Richard A. (Irvine CA), Microprocessor with parallel operation.
  146. Magar Surendar S. (Houston TX) Essig Daniel L. (Houston TX), Microprocessor with repeat instruction.
  147. Laurenti, Gilbert; Giacalone, Jean-Pierre; Ego, Emmanuel; Lombardot, Anne; Theodorou, Francois; Clave, Gael; Masse, Yves; Djafarian, Karim; Laine, Armelle; Tardieux, Jean-Louis; Ponsot, Eric; Catan, , Microprocessors.
  148. Gruner Ronald Hans (Framingham MA) Alsing Carl Justin (Hopkinton MA), Microprogram data processing technique and apparatus.
  149. Luick David Arnold, Multi-ported and interleaved cache memory supporting multiple simultaneous accesses thereto.
  150. Raymond ; Jr. Joseph H. (Houston TX), Multiphase clocking for MOS.
  151. Blaner Bartholomew (Underhill Center VT) Larsen Larry D. (Raleigh NC), Multiple condition code branching system in a multi-processor environment.
  152. Emer Joel S. ; Steely Simon ; McLellan Edward J., Multiprobe instruction cache with instruction-based probe hint generation and training whereby the cache bank or way to.
  153. Widen Melinda A. (Arlington MA) Bradley John J. (Framingham MA) O\Har George M. (Chelmsford MA), Nibble and word addressable memory arrangement.
  154. Hsu Wei ; Staley Loren, Optimizing compiler having data cache prefetch spreading.
  155. Wu Youfeng (Aloha OR), Optimizing compiler with static prediction of branch probability, branch frequency and function frequency.
  156. Hillis W. Daniel (Cambridge MA) Douglas David C. (Concord MA) Leiserson Charles E. (Winchester MA) Kuszmaul Bradley C. (Waltham MA) Ganmukhi Mahesh N. (Wexford PA) Hill Jeffrey V. (San Jose CA) Wong-, Parallel computer system with physically separate tree networks for data and control messages.
  157. Gifford David K. (Cambridge MA), Parallel processing system with processor array having memory system included in system memory.
  158. Kim Won S. (Fremont CA) Nickolls John R. (Los Altos CA), Parallel processor system with highly flexible local control capability, including selective inversion of instruction si.
  159. Meeker Woodrow L. ; Abercrombie Andrew P., Pattern generation and shift plane operations for a mesh connected computer.
  160. Yeager Kenneth C. ; Khurshid Mazin S., Pipeline processor with enhanced method and apparatus for restoring register-renaming information in the event of a bran.
  161. Krishnan, Sivaram; Zlesler, Sebastian Havluj, Pipelined processor executing logical or mathematical operation specifying compare folded branch instruction.
  162. Martin ; Peter G., Portable data entry device.
  163. Koreeda Hiroyuki (Yokohama JPX) Kuwabara Tadashi (Yokohama JPX) Nonaka Naomichi (Kawasaki JPX) Nakane Keiichi (Yokohama JPX) Taniguchi Shigeki (Hitachi JPX), Power consumption control system.
  164. Bartley David Harold, Power reduction for processors by software control of functional units.
  165. Mohammad, Saleem Chisty, Power saving in a USB peripheral by providing gated clock signal to CSR block in response to a local interrupt generated when an operation is to be performed.
  166. Culley Paul R. (Houston TX), Priority arbitration circuit for processor access.
  167. Jackson James H. (Cary) Lee Ming-Chih (Cary NC), Processor array with relocated operand physical address generator capable of data transfer to distant physical processor.
  168. Hammond Gary N. (Campbell CA) Kahn Kevin C. (Portland OR) Alpert Donald B. (Santa Clara CA), Processor capable of executing programs that contain RISC and CISC instructions.
  169. Jignesh Trivedi ; Tse-Yu Yeh, Processor executing plural instruction sets (ISA's) with ability to have plural ISA's in different pipeline stages at same time.
  170. Forster Richard G. (San Jose CA) Howard John K. (San Jose CA), Program branching method and apparatus.
  171. Freeman Jackie Andrew, Programmable branch prediction system and method for inserting prediction operation which is independent of execution o.
  172. Guttag Karl M. (Houston TX) Laws Gerald E. (Austin TX), Psuedo-microprogramming in microprocessor in single-chip microprocessor with alternate IR loading from internal or exter.
  173. Moritz,Csaba Andras; Krishna,Mani; Koren,Israel; Unsal,Osman Sabri; Chheda,Saurabh; Ashok,Raksit, Reducing processor energy consumption using compile-time information.
  174. Luca Giuseppe De Ambroggi IT; Fabrizio Campanale IT; Salvatore Nicosia IT; Francesco Tomaiuolo IT; Promod Kumar IT, Redundancy architecture for an interleaved memory.
  175. Sazzad Sharif Mohammad ; Pearlstein Larry, Registers and methods for accessing registers for use in a single instruction multiple data system.
  176. Taylor James L. (Eastleigh GBX), SIMD array processor with global instruction control and reprogrammable instruction decoders.
  177. Jonathan Coulombe JP; Seiichiro Iwase JP, SIMD control parallel processor with simplified configuration.
  178. Wilkinson Paul Amba ; Dieffenderfer James Warren ; Kogge Peter Michael, SIMIMD array processing system.
  179. Stokes ; Richard Arthur ; Kuck ; David Jerome ; Jensen ; Carl Anton, Scientific processor.
  180. Suresh Krishna ; Christopher Owen, Security chip architecture and implementations for cryptography acceleration.
  181. Levinthal Adam E. (Corte Madera CA) Porter Thomas K. (Fairfax CA) Duff Thomas D. S. (No. Plainfield NJ) Carpenter Loren C. (Novato CA), Selective operation of processing elements in a single instruction multiple data stream (SIMD) computer system.
  182. Chong Ming Lin, Selective power-down for high performance CPU/system.
  183. Lin Chong Ming, Selective power-down for high performance CPU/system.
  184. Lin Chong Ming (Sunnyvale CA), Selective power-down for high performance CPU/system.
  185. Hazama Katsuki,JPX, Semiconductor device with security protection function, ciphering and deciphering method thereof, and storage medium for storing software therefor.
  186. Laws Gerald E. (Austin TX), Serial/parallel input/output bus for microprocessor system.
  187. Ohi, Hirokazu, Server, client, client server system, method for controlling them and storage medium therefor.
  188. Bonaiti Marco,ITX ; Servadei Sauro,ITX, Shackle (clevis) for hooking onto a chain.
  189. Derchak ; Nicholas, Shared direct memory access controller.
  190. Blomgren James S. (San Jose CA) Richter David E. (San Jose CA), Shared register architecture for a dual-instruction-set CPU.
  191. Sprague David L. (10 Jacobs Creek Rd. Trenton NJ 08628) Harney Kevin (30 Reeve Pl. Brooklyn NY 11218) Kowashi Eiichi (6234 Kaitlyn Ct. Lawrenceville NJ 08648) Keith Michael (14 Quail Dr. Holland PA 1, Simd with selective idling of individual processors based on stored conditional flags, and with consensus among all flag.
  192. Thaden Robert C. (Houston TX), Single chip dram controller and CRT controller.
  193. Ugon, Michel, Single chip microprocessor with on-chip modifiable memory.
  194. Chauvel, Gerard; Lasserre, Serge; D'Inverno, Dominique Benoit Jacques, Smart cache.
  195. Hay, Robert William; Sinharoy, Balaram, Software hint to improve the branch target prediction accuracy.
  196. Breggin, David G.; Drapal, Myron Eugene; Prenger, Deborah K., Software installation verification tool.
  197. Horstmann Cay S., Software publisher or distributor configurable software security mechanism.
  198. Sachs Howard G. (Belvedere CA) Arya Siamak (Palo Alto CA), Software scheduled superscalar computer architecture.
  199. Moore Steven Jerome, Software security system using remove function to restrict unauthorized duplicating and installation of an application program.
  200. Horning,James J.; Sibert,W. Olin; Tarjan,Robert E.; Maheshwari,Umesh; Horne,William G.; Wright,Andrew K.; Matheson,Lesley R.; Owicki,Susan K., Software self-defense systems and methods.
  201. Bindloss Keith M. ; Garey Kenneth E. ; Watson George A. ; Earle John, Space vector data path.
  202. Wicki Thomas M. ; Kasinathan Meera ; Pong Fong ; Hetherington Ricky C., Speculative cache line write backs to avoid hotspots.
  203. Henry, G. Glenn; Parks, Terry, Static branch prediction mechanism for conditional branch instructions.
  204. Moritz,Csaba Andras, Statically speculative compilation and execution.
  205. Moritz, Csaba Andras, Statically speculative memory accessing.
  206. Sharangpani Harshvardhan ; Fielden Kent, Storing predicted branch target address in different storage according to importance hint in branch prediction instruction.
  207. Peinado,Marcus; Abburi,Rajasekhar; Bell,Jeffrey R. C., Structural of digital rights management (DRM) system.
  208. Lamiaux ; Sylves L., Structure for an easily testable single chip calculator/controller.
  209. Tran Thang M. ; Mahalingaiah Rupaka, Superscalar microprocessor configured to predict return addresses from a return stack storage.
  210. Roberts James S. ; Pickett James K., Superscalar microprocessor employing away prediction structure.
  211. Tran Thang M. ; Witt David B., Superscalar microprocessor which delays update of branch prediction information in response to branch misprediction unti.
  212. Chih David,CAX, Switchable memory system and memory allocation method.
  213. Gilbert Ira H. (Carlisle MA) Ciccia Nicodemo A. (North Reading MA), Synchronous processor with simultaneous instruction processing and data transfer.
  214. Natarjan Balas K. ; Mahlke Scott A., Synthetic dynamic branch prediction.
  215. Davydov, Alexander; Cugi, Guido; Powell, Matthew, System and method for applying an OMA DRM permission model to JAVA MIDP applications.
  216. Doshi Gautam B. ; Markstein Peter ; Karp Alan H. ; Huck Jerome C. ; Colon-Bonet Glenn T. ; Morrison Michael, System and method for deferring exceptions generated during speculative execution.
  217. Baxter Michael A., System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware.
  218. Baxter Michael A., System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization.
  219. Sudarsan Tandri CA, System and method for optimizing program execution in a computer system.
  220. Loper Albert John ; Mallick Soummya, System and method for reducing power consumption in an electronic circuit.
  221. Chilimbi, Trishul, System and method for the discovery and use of repetitively accessed data.
  222. Gingold David Bruce (Somerville MA) Crouch Kenneth Walter (Cambridge MA) Lasser Clifford Adam (Cambridge MA) Bromley Harry Mark (Andover MA) Steele ; Jr. Guy Lewis (Lexington MA), System and method of mapping an array to processing elements.
  223. Bennett John G. (San Mateo CA), System and methods for optimizing compiled code according to code object participation in program activities.
  224. Ulery,James; Toukmaji,Nour, System for executing computer programs on a limited-memory computing machine.
  225. Kawakami Katsura (Yokohama JPX), System for program interrupt processing with quasi-stack of register-sets.
  226. Johnson William M. (San Jose CA), System for reducing delay for execution subsequent to correctly predicted branch instruction using fetch information sto.
  227. Boufarah Edmond J. (Austin TX) Grohoski Gregory F. (Cedar Park TX) Lee Chien-Chyun (Ausin TX) Moore Charles R. (Ausin TX), System for reducing delay in instruction execution by executing branch instructions in separate processor while dispatch.
  228. Hebbalalu S. Ramagopal ; David B. Witt ; Michael Allen ; Moinul Syed ; Ravi Kolagotla ; Lawrence A. Booth, Jr. ; William C. Anderson, System having a configurable cache/SRAM memory.
  229. Blomgren James S. ; Brashears Cheryl Senter, Temporal re-alignment of a floating point pipeline to an integer pipeline for emulation of a load-operate architecture.
  230. Howes Ralph E. (Sandy UT) Benson John E. (Salt Lake City UT) Longwell Ruben S. (Provo UT), Terminal configuration manager.
  231. Chu Ke-Chiang (San Jose CA) Sharp Richard S. (Santa Barbara CA), Time-shared, multi-phase memory accessing system.
  232. Gilbert Ira H. (Carlisle MA) Ciccia Nicodemo A. (North Reading MA), Translator for translating source code for selective unrolling of loops in the source code.
  233. Kocher Paul C. ; Jaffe Joshua M. ; Jun Benjamin C., Using unpredictable information to minimize leakage from smartcards and other cryptosystems.
  234. Koyanagi Yoichi (Kawasaki JPX) Horie Takeshi (Kawasaki JPX), Vector processor having a mask register used for performing nested conditional instructions.
  235. Devadas, Srinivas; Ziola, Thomas, Volatile device keys and applications thereof.

이 특허를 인용한 특허 (10)

  1. Eastlack, Jeffrey R., Autonomous microprocessor re-configurability via power gating pipelined execution units using static profiling.
  2. Moritz, Csaba Andras; Carver, Kristopher; Gummeson, Jeffry, Characterizing, detecting and healing vulnerabilities in computer code.
  3. Caprioli, Paul, Custom protection against side channel attacks.
  4. Moritz, Csaba Andras; Carver, Kristopher; Gummeson, Jeffrey, Detection and healing of vulnerabilities in computer code.
  5. Moritz, Csaba Andras; Carver, Kristopher; Gummeson, Jeffry, Detection and healing of vulnerabilities in computer code.
  6. Moritz, Csaba Andras, Encrypting content and facilitating legal access to the encrypted content.
  7. Chheda, Saurabh; Carver, Kristopher; Ashok, Raksit, Energy-focused compiler-assisted branch prediction.
  8. Chheda, Saurabh; Carver, Kristopher; Ashok, Raksit, Energy-focused compiler-assisted branch prediction.
  9. Howes, Lee, Generating object code from intermediate code that includes hierarchical sub-routine information.
  10. Moritz, Csaba Andras, Transmitting content to promote privacy.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로