$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Remedial system: a pollution control device for utilizing and abating volatile organic compounds

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • F02B-043/00
출원번호 US-0468789 (2009-05-19)
등록번호 US-8776734 (2014-07-15)
발명자 / 주소
  • Roy, Michael J.
  • Neill, Lawrence
출원인 / 주소
  • Innovative Environmental Solutions, LLC
대리인 / 주소
    Christie, Parker & Hale, LLP
인용정보 피인용 횟수 : 64  인용 특허 : 15

초록

A remedial pollution control system for treating volatile organic compounds that may include a vapor concentrator connected to a line that is laden with volatile organic compounds, the concentrator has an organic condensate output line and a vapor output line; a mixing chamber adapted to receive air

대표청구항

1. A remedial system for treating volatile organic compounds comprising: a concentrator input line to receive volatile organic compounds from a source;a concentrator connected to the concentrator input line, the concentrator having a liquid output line and a vapor fuel output line;a mixing chamber t

이 특허에 인용된 특허 (15)

  1. Maltbie,Brian Norman; White,Norman Henry, Apparatus and method for producing a pressurized vapor stream.
  2. Katz Jerome (Rochester ; Monroe County NY) Fogel Sidney J. (Rochester ; Monroe County NY), Apparatus for high volume distillation of liquids.
  3. Belmonte Frank G. ; Abrams Kenneth J. ; Oppenheim Judith P., Catalytic vent gas treatment system for abatement of volatile chemical emissions.
  4. Mirji,Sanmati A., Device for production of hydrogen from effluents of internal combustion engines.
  5. Keefer, Bowie G.; Mather, Daniel K.; Foster, David E.; Connor, Denis J.; Hunter, Carl F.; Smith, Todd, Feed composition modification for internal combustion engines.
  6. Manikowski ; Jr. Ambrose F., High efficiency oxygen/air separation system.
  7. Barber,Jeffrey L.; Cronin,Jeremiah J., Hypergolic hydrogen generation system for fuel cell power plants.
  8. Pedersen Peter Sunn,DKX, Internal combustion engine of the diesel type for combustion of gas, and a method of supplying such an engine with fuel.
  9. Poola Ramesh B. ; Sekar Ramanujam R., Method and apparatus for reducing particulates and NO.sub.X emissions from diesel engines utilizing oxygen enriched combustion air.
  10. Ashford,Marcus; Matthews,Ron, On-board fuel fractionation system and methods to generate an engine starting fuel.
  11. Wolf Bodo,DEX, Process for the low-pollutant conversion of fossil fuels into mechanical power.
  12. Kalina,Alexander I., System and apparatus for power system utilizing wide temperature range heat sources.
  13. Kun C. Youn, System and method for controlling VOC emissions.
  14. Goldstein, Jonathan; Givon, Menachem, System for hydrogen storage and generation.
  15. Cheng Alan T. Y. (Livingston NJ), Volatile organic compounds recovery from vent gas streams.

이 특허를 인용한 특허 (64)

  1. Peng, Cheng-Yi; Yang, Yu-Lin; Ho, Chia-Cheng; Chiu, Jung-Piao; Lee, Tsung-Lin; Yeh, Chih Chieh; Chang, Chih-Sheng; Yeo, Yee-Chia, Asymmetric source/drain depths.
  2. Kelly, Andrew Joseph; Oniki, Yusuke, Doping of high-K dielectric oxide by wet chemical treatment.
  3. Oxland, Richard Kenneth; Duriez, Blandine; Dal, Mark van; Holland, Martin Christopher, Elongated semiconductor structure planarization.
  4. Ching, Kuo-Cheng; Liu, Chi-Wen; Wang, Chih-Hao, FETS and methods of forming FETS.
  5. Wang, Chih-Hao; Tsai, Ching-Wei; Liu, Chi-Wen; Ching, Kuo-Cheng; Liaw, Jhon Jhy; Lien, Wai-Yi, FETS and methods of forming FETS.
  6. Ching, Kuo-Cheng; Liu, Chi-Wen; Wang, Chih-Hao, FETs and methods of forming FETs.
  7. Wang, Chih-Hao; Tsai, Ching-Wei; Liu, Chi-Wen; Ching, Kuo-Cheng; Liaw, Jhon Jhy; Lien, Wai-Yi, FETs and methods of forming FETs.
  8. Peng, Cheng-Yi; Yeh, Chih Chieh; Lee, Tsung-Lin, FINFET structures and methods of forming the same.
  9. Chang, Che-Cheng; Lin, Chih-Han; Tseng, Horng-Huei, Fin structure and method of forming same through two-step etching processes.
  10. Yu, Chia-Ta; Wang, Sheng-Chen; Yang, Cheng-Yu; Lee, Kai-Hsuan; Yeong, Sai-Hooi; Yang, Feng-Cheng; Chen, Yen-Ming, FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same.
  11. Yu, Chia-Ta; Wang, Sheng-Chen; Yang, Cheng-Yu; Lee, Kai-Hsuan; Yeong, Sai-Hooi; Yang, Feng-Cheng; Chen, Yen-Ming, FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same.
  12. Ching, Kuo-Cheng; Liu, Chi-Wen, FinFET devices and methods of forming.
  13. Ching, Kuo-Cheng; Liu, Chi-Wen, FinFET devices and methods of forming.
  14. Ching, Kuo-Cheng; Liu, Chi-Wen, FinFET devices and methods of forming.
  15. Lee, Yi-Jing; Wu, Cheng-Hsien; Ko, Chih-Hsin; Wann, Clement Hsingjen, FinFET devices with unique fin shape and the fabrication thereof.
  16. Yeh, Chih Chieh; Peng, Cheng-Yi; Lee, Tsung-Lin, FinFET structures and methods of forming the same.
  17. Peng, Cheng-Yi; Yeh, Ling-Yen; Liu, Chi-Wen; Chang, Chih-Sheng; Yeo, Yee-Chia, Integrated circuit structure and method with solid phase diffusion.
  18. Peng, Cheng-Yi; Yeh, Ling-Yen; Liu, Chi-Wen; Chang, Chih-Sheng; Yeo, Yee-Chia, Integrated circuit structure and method with solid phase diffusion.
  19. Ching, Kuo-Cheng; Tsai, Ching-Wei; Wu, Chung-Cheng; Wang, Chih-Hao; Hsieh, Wen-Hsing; Leung, Ying-Keung, Integrated circuit structure with substrate isolation and un-doped channel.
  20. Duriez, Blandine; Holland, Martin Christopher, Method for forming FinFET devices.
  21. Lee, Tung Ying; Yu, Shao-Ming, Method for forming stacked nanowire transistors.
  22. Colinge, Jean-Pierre; Goto, Ken-Ichi; Wu, ZhiQiang, Method of fabricating epitaxial gate dielectrics and semiconductor device of the same.
  23. Colinge, Jean-Pierre; Diaz, Carlos H., Method of fabricating non-volatile memory device array.
  24. Ching, Kuo-Cheng; Leung, Ying-Keung, Method of forming a FinFET device.
  25. Huang, Yu-Lien, Method of forming a gate spacer.
  26. Yeo, Yee-Chia; Duriez, Blandine; Holland, Martin Christopher, Method of forming a nanowire.
  27. Peng, Cheng-Yi; Ho, Chia-Cheng; Yeh, Chih Chieh; Lee, Tsung-Lin; Yang, Yu-Lin, Method of forming semiconductor device with different threshold voltages.
  28. Cheng, Chao-Ching; Yeh, Chih Chieh; Wu, Cheng-Hsien; Chiang, Hung-Li; Chiu, Jung-Piao; Chen, Tzu-Chiang; Lee, Tsung-Lin; Yang, Yu-Lin; Chen, I-Sheng, Method of manufacturing a semiconductor device with multilayered channel structure.
  29. Huang, Gin-Chen; Huang, Hui-Chi; Lu, Yung-Cheng, Methods of forming contact feature.
  30. Chen, I-Sheng; Yeh, Chih Chieh; Wu, Cheng-Hsien; Yeo, Yee-Chia, Multi-gate device and method of fabrication thereof.
  31. Chen, I-Sheng; Yeh, Chih Chieh; Wu, Cheng-Hsien; Yeo, Yee-Chia, Multi-gate device and method of fabrication thereof.
  32. Ching, Kuo-Cheng; Huang, Ching-Fang; Diaz, Carlos H.; Wang, Chih-Hao; Hsieh, Wen-Hsing; Leung, Ying-Keung, Multi-gate device and method of fabrication thereof.
  33. Ching, Kuo-Cheng; Tsai, Ching-Wei; Diaz, Carlos H; Wang, Chih-Hao; Lien, Wai-Yi; Leung, Ying-Keung, Multi-gate device and method of fabrication thereof.
  34. Ching, Kuo-Cheng; Tsai, Ching-Wei; Diaz, Carlos H; Wang, Chih-Hao; Lien, Wai-Yi; Leung, Ying-Keung, Multi-gate device and method of fabrication thereof.
  35. Chen, Chao-Hsuing; Chen, Hou-Yu; Lin, Chie-Iuan; Chao, Yuan-Shun; Li, Kuo Lung, Semiconductor device and manufacturing method thereof.
  36. Chen, Chao-Hsuing; Chen, Hou-Yu; Lin, Chie-Iuan; Chao, Yuan-Shun; Li, Kuo Lung, Semiconductor device and manufacturing method thereof.
  37. Ching, Kuo-Cheng; Tsai, Ching-Wei; Wang, Chih-Hao; Lien, Wai-Yi, Semiconductor device and manufacturing method thereof.
  38. Ching, Kuo-Cheng; Wang, Chih-Hao; Tsai, Ching-Wei; Lien, Wai-Yi, Semiconductor device and manufacturing method thereof.
  39. Lo, Hung; Hsu, Chia-Jung; Tsai, Teng-Chun; Hsu, Tzu-Hsiang; Yang, Feng-Cheng; Chen, Ying-Ho, Semiconductor device including Fin FET and manufacturing method thereof.
  40. Tsai, Chun Hsiung; Wang, Tsan-Chun, Semiconductor device including Fin structures and manufacturing method thereof.
  41. Huang, Gin-Chen; Hsu, Tzu-Hsiang; Hsu, Chia-Jung; Yang, Feng-Cheng; Tsai, Teng-Chun, Semiconductor device including Fin- FET and manufacturing method thereof.
  42. Huang, Gin-Chen; Hsu, Tzu-Hsiang; Hsu, Chia-Jung; Yang, Feng-Cheng; Tsai, Teng-Chun, Semiconductor device including Fin-FET and manufacturing method thereof.
  43. Lo, Hung; Hsu, Tzu-Hsiang; Hsu, Chia-Jung; Yang, Feng-Cheng; Tsai, Teng-Chun; Chen, Ying-Ho, Semiconductor device including fin FET and manufacturing method thereof.
  44. Lee, Tung Ying; Okuno, Yasutoshi; Su, Chien-Chang; Huang, Wang-Chun, Semiconductor device including fin structure with two channel layers and manufacturing method thereof.
  45. Lee, Tung Ying; Su, Chien-Chang; Huang, Wang-Chun; Okuno, Yasutoshi, Semiconductor device including fin structure with two channel layers and manufacturing method thereof.
  46. Wang, Sheng-chen; Yeong, Sai-Hooi; Hsiao, Tsung-Chieh, Semiconductor device including fin structures and manufacturing method thereof.
  47. Wang, Sheng-chen; Yeong, Sai-Hooi; Hsiao, Tsung-Chieh, Semiconductor device including fin structures and manufacturing method thereof.
  48. Ching, Kuo-Cheng; Ju, Shi-Ning; Wang, Chih-Hao; Leung, Ying-Keung, Semiconductor structure and method of manufacturing the same.
  49. Lee, Yi-Jing; Wu, Cheng-Hsien; Ko, Chih-Hsin; Wann, Clement Hsingjen, Semiconductor structure and the manufacturing method thereof.
  50. Wang, Chun-Chieh; Huang, Yi-Min; Yang, Huai-Tei; Chang, Shih-Chieh; Pan, Zheng-Yang, Semiconductor structure with dopants diffuse protection and method for forming the same.
  51. Peng, Cheng-Yi; Ho, Chia-Cheng; Chang, Chih-Sheng; Yeo, Yee-Chia; Yang, Yu-Lin, Short channel effect suppression.
  52. Peng, Cheng-Yi; Yang, Yu-Lin; Ho, Chia-Cheng; Chiang, Hung-Li; Lai, Wei-Jen; Chen, Tzu-Chiang; Lee, Tsung-Lin; Yeh, Chih Chieh; Chang, Chih-Sheng; Yeo, Yee-Chia, Short channel effect suppression.
  53. Huang, Yu-Lien; Lee, Tung Ying; Chen, Winnie, Source/drain structure and manufacturing the same.
  54. Huang, Yu-Lien; Lee, Tung Ying; Chen, Winnie, Source/drain structure and manufacturing the same.
  55. More, Shahaji B.; Pan, Zheng-Yang; Lee, Tsung-Lin; Chang, Shih-Chieh, Structure and formation method of semiconductor device with channel layer.
  56. Wang, Kuan-Cheng; Lin, Chien-Feng; Pan, Jeng-Yang; Lin, Keng-Chu, Surface passivation for germanium-based semiconductor structure.
  57. Steen, William Ronald; Coon, Scott Francis, System and method for managing a volatile organic compound emission stream.
  58. Steen, William Ronald; Coon, Scott Francis, System and method for managing a volatile organic compound emission stream.
  59. Ching, Kuo-Cheng; Ju, Shi Ning; Wang, Chih-Hao; Leung, Ying-Keung; Diaz, Carlos H., System and method for widening Fin widths for small pitch FinFET devices.
  60. Lee, Tzung-Chi; Hsieh, Tung-Heng; Young, Bao-Ru; Chang, Yung Feng, System and method of fabricating ESD FinFET with improved metal landing in the drain.
  61. Chou, Chen Cheng; Sun, Chung-Ren; Wu, Chii-Ming; Wu, Cheng-Ta; Lin, Tzu kai, Tilt implantation for STI formation in FinFET structures.
  62. Chang, Hung-Chih; Chen, Pin-Shiang; Liu, Chee-Wee; Pan, Samuel C., Transistor with wurtzite channel.
  63. Chang, Hung-Chih; Chen, Pin-Shiang; Liu, Chee-Wee; Pan, Samuel C., Transistor with wurtzite channel.
  64. Li, Chii-Horng; Chen, Chih-Shan; Tai, Roger; Lin, Yih-Ann; Lee, Yen-Ru; Lin, Tzu-Ching, V-shape recess profile for embedded source/drain epitaxy.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트