$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

High throughput cyclical epitaxial deposition and etch process

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/20
  • H01L-021/36
출원번호 US-0111917 (2011-05-19)
등록번호 US-8809170 (2014-08-19)
발명자 / 주소
  • Bauer, Matthias
출원인 / 주소
  • ASM America Inc.
대리인 / 주소
    Knobbe, Martens, Olson & Bear, LLP
인용정보 피인용 횟수 : 5  인용 특허 : 215

초록

Methods of selective formation leave high quality epitaxial material using a repeated deposition and selective etch process. During the deposition process, an inert carrier gas is provided with a silicon-containing source without hydrogen carrier gas. After depositing silicon-containing material, an

대표청구항

1. A method for selectively forming silicon-containing material over single crystal semiconductor surfaces, comprising: providing a substrate, the substrate comprising insulating surfaces and single-crystal semiconductor surfaces;depositing silicon-containing material over the insulating surfaces an

이 특허에 인용된 특허 (215)

  1. Reisman Arnold (Raleigh) Temple Dorota (Raleigh NC), Alternating cyclic pressure modulation process for selective area deposition.
  2. Conger Darrell R. (Portland OR) Posa John G. (Lake Oswego OR) Wickenden Dennis K. (Lake Oswego OR), Apparatus for depositing material on a substrate.
  3. Hansen Keith J. (San Jose CA), Apparatus for performing in-situ etch of CVD chamber.
  4. Yongjun Jeff Hu, Asymmetric, double-sided self-aligned silicide.
  5. Hu Yongjun Jeff, Asymmetric, double-sided self-aligned silicide and method of forming the same.
  6. Hu, Yongjun Jeff, Asymmetric, double-sided self-aligned silicide and method of forming the same.
  7. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  8. Sato Fumihiko,JPX, Bipolar transistor having an emitter region formed of silicon carbide.
  9. Delage Sylvain,FRX ; Cassette Simone,FRX ; Henkel Achim,FRX ; Salzenstein Patrice,FRX, Bipolar transistor stabilized with electrical insulating elements.
  10. Bajor George S. (Melbourne FL), Bipolar transistor with high efficient emitter.
  11. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  12. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  13. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  14. Tzeng J. C. (Sunnyvale CA), Buried interconnect for silicon on insulator structure.
  15. Ui Akio,JPX ; Kaji Naruhiko,JPX ; Miyajima Hideshi,JPX ; Hayasaka Nobuo,JPX, CVD apparatus with high throughput and cleaning method therefor.
  16. Sang-Gi Ko KR, Capacitor and method of fabricating the same.
  17. Nagashima Makoto (Machida JPX) Kobayashi Naoaki (Sakura CA JPX) Wong Jerry (Fremont CA), Cleaning method for semiconductor wafer processing apparatus.
  18. Fujiyama Yasutomo,JPX ; Kumomi Hideya,JPX, Cleaning methods of porous surface and semiconductor surface.
  19. Kweon Ki-young (Seoul KRX), Compound semiconductor device.
  20. Currie,Matthew T., Control of strain in device layers by prevention of relaxation.
  21. Edelstein Daniel Charles ; Harper James McKell Edwin ; Hu Chao-Kun ; Simon Andrew H. ; Uzoh Cyprian Emeka, Copper interconnection structure incorporating a metal seed layer.
  22. Bauer, Matthias; Thomas, Shawn G., Cyclical epitaxial deposition and etch.
  23. Todd,Michael A., Deposition of amorphous silicon-containing films.
  24. Todd, Michael A., Deposition over mixed substrates.
  25. Takasu Katsuji,JPX ; Tsuda Hisanori,JPX ; Sano Masafumi,JPX ; Hirai Yutaka,JPX, Device for forming deposited film.
  26. Das Kalyankumar (Raleigh NC), Diamond and silicon carbide heterojunction bipolar transistor.
  27. Roger Leung ; Denis Endisch ; Songyuan Xie ; Nigel Hacker ; Yanpei Deng, Dielectric films for narrow gap-fill applications.
  28. Todd, Michael A., Dopant precursors and ion implantation processes.
  29. Lee Ellis,TWX, Dual damascene structure and its manufacturing method.
  30. Sato Fumihiko (Tokyo JPX) Tashiro Tsutomu (Tokyo JPX), Dual layer epitaxtial base heterojunction bipolar transistor.
  31. Cheffings David F. (Boise ID), Electrically conductive substrate interconnect continuity region and method of forming same with an angled implant.
  32. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with composite atomic barrier film and process for making same.
  33. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with rubidium barrier film and process for making same.
  34. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with strontium barrier film and process for making same.
  35. Arena,Chantal J.; Italiano,Joe P.; Brabant,Paul D., Enhanced selectivity for epitaxial deposition.
  36. Wang Chein-Cheng,TWX ; Chang Shih-Chanh,TWX, Fabricating method of glue layer and barrier layer.
  37. Lu Nicky C. (Yorktown Heights NY) Machesney Brian J. (Burlington VT), Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures.
  38. Shishiguchi Seiichi,JPX ; Yasunaga Tomoko,JPX, Fabrication method of semiconductor device using selective epitaxial growth.
  39. Hafizi Madjid, Fully self-aligned submicron heterojunction bipolar transistor.
  40. Tadatomo Kazuyuki,JPX ; Okagawa Hiroaki,JPX ; Ohuchi Youichiro,JPX ; Miyashita Keiji,JPX ; Hiramatsu Kazumasa,JPX ; Sawaki Nobuhiko,JPX ; Yahashi Katsunori,JPX ; Shibata Takumi,JPX, GAN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof.
  41. Seamons Martin ; Ching Cary ; Imaoka Kou,JPX ; Sato Tatsuya,JPX ; Ravi Tirunelveli S. ; Triplett Michael C., Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus.
  42. Blackwood Robert S. (Lubbock TX) Biggerstaff Rex L. (Lubbock TX) Clements L. Davis (Lincoln NE) Cleavelin C. Rinn (Lubbock TX), Gaseous process and apparatus for removing films from substrates.
  43. Fitzgerald, Eugene A.; Hammond, Richard; Currie, Matthew, Gate technology for strained surface channel and strained buried channel MOSFET devices.
  44. Boydston Mark R. ; Dietze Gerald R. ; Kononchuk Oleg V., Growth of epitaxial semiconductor material with improved crystallographic properties.
  45. Carter Lawrence E. ; Schwab Brent ; Fayfield Robert T., HF/IPA based process for removing undesired oxides form a substrate.
  46. Hashimoto Takasuke (Tokyo JPX), Hetero-junction type bipolar transistor.
  47. Nakano Hirofumi (Tokyo JPX), Heterojunction bipolar transistor.
  48. Matsuoka Yutaka (Kanagawa JPX) Sano Eiichi (Kanagawa JPX) Kurishima Kenji (Kanagawa JPX) Nakajima Hiroki (Kanagawa JPX) Ishibashi Tadao (Kanagawa JPX), Heterojunction bipolar transistor and integrated circuit device using the same.
  49. Nii Keita (Kyoto JPX), Heterojunction bipolar transistor and method for producing the same.
  50. Yang Edward S. ; Yang Yue-Fei, Heterojunction bipolar transistor having heterostructure ballasting emitter.
  51. Twynam John K. (Tenri JPX) Kishimoto Katsuhiko (Nara JPX) Kinosada Toshiaki (Izumi JPX), Heterojunction bipolar transistor having low electron and hole concentrations in the emitter-base junction region.
  52. Wen Cheng P. (Mission Viejo CA) Wu Chan S. (Torrance CA) Chu Peter (Hawthorne CA), Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same.
  53. Morcom William R. (Melbourne Beach FL) Nicolay Hugh C. (Melbourne Village FL) Cox Eugene R. (Palm Bay FL), I2L Integrated circuit and process of fabrication.
  54. Meunier Paul L. (Orsay FRX) Razeghi Manijeh (Orsay FRX), III-V Compound heteroepitaxial 3-D semiconductor structures utilizing superlattices.
  55. Ku Tzu-Kun,TWX, Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer.
  56. Changming Jin ; Kelly J. Taylor ; Wei William Lee, Integrated circuit dielectric and method.
  57. Hoinkis Mark D., Integrated circuits with copper metallization for interconnections.
  58. Pomarede,Christophe F.; Givens,Michael E.; Shero,Eric J.; Todd,Michael A., Integration of high k gate dielectric.
  59. Naoki Komai JP; Shingo Kadomura JP; Mitsuru Taguchi JP; Akira Yoshio JP; Takaaki Miyamoto JP, Interconnection structure and fabrication process therefor.
  60. Blackstone Scott C. (Needham MA), Inverted epitaxial process.
  61. Blackstone Scott C. (Needham MA), Inverted epitaxial process semiconductor devices.
  62. Shealy Jeffrey B. ; Matloubian Mehran, Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication.
  63. Pelka David G. ; Winston Roland ; Parkyn ; Jr. William A., LED with light extractor.
  64. Huang Richard J. (Milpitas CA) Cheung Robin W. (Cupertino CA) Rakkhit Rajat (Milpitas CA) Lee Raymond T. (Sunnyvale CA), Landing pad technology doubled up as a local interconnect and borderless contact for deep sub-half micrometer IC applica.
  65. Kovacic Stephen J. (Kanata CAX), Lateral bipolar transistor.
  66. Nguyen Tue ; Hsu Sheng Teng, Low resistance contact between integrated circuit metal levels and method for same.
  67. Prall Kirk D. (Boise ID) Sandhu Gurtej S. (Boise ID) Meikle Scott G. (Boise ID), Low resistance device element and interconnection structure.
  68. Carlson David K. (Santa Clara CA) Hey H. Peter W. (San Jose CA) Hann James C. (Santa Clara CA), Low temperature etching in cold-wall CVD systems.
  69. Brabant,Paul D.; Italiano,Joe P.; Wen,Jianqing, Low temperature load and bake.
  70. Moslehi Mehrdad M. (Dallas TX), Low-temperature in-situ dry cleaning process for semiconductor wafer.
  71. Urabe Koji,JPX, Manufacturing method for contact hole.
  72. Iacoponi John A. ; Paton Eric N., Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient.
  73. Posa John G. (Lake Oswego OR), Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition.
  74. Granneman Ernst Hendrik August,NLX ; Huussen Frank,NLX, Method and apparatus for supporting a semiconductor wafer during processing.
  75. Suntola Tuomo,FIX ; Lindfors Sven,FIX ; Soininen Pekka,FIX, Method and equipment for growing thin films.
  76. Scott J. Deboer ; Vishnu K. Agarwal, Method and structure for reducing contact aspect ratios.
  77. Chong,Yung Fu; Greene,Brian Joseph, Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor.
  78. Lur, Water; Lee, David; Wang, Kuang-Chih, Method and system for making cobalt silicide.
  79. Kelly Michael A. (121 Erica Way Portola Valley CA 94028), Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its.
  80. Ward Steven D. (Phoenix AZ) Avona Paul V. (Phoenix AZ), Method for cleaning a process chamber.
  81. Suemitsu, Maki; Nakazawa, Hideki, Method for fabricating a SiC film and a method for fabricating a SiC multi-layered film structure.
  82. Kodama Noriyuki,JPX, Method for fabricating a field effect transistor having elevated source/drain regions.
  83. Diaz, Carlos H.; Sheu, Yi-Ming; Jang, Syun-Ming; Tao, Hun-Jan; Yang, Fu-Liang, Method for fabricating a recessed channel field effect transistor (FET) device.
  84. Yue Jerry (Roseville MN) Liu Michael S. T. (Bloomington MN), Method for fabricating self-aligned semiconductor devices.
  85. Matsubara Yoshihisa,JPX, Method for forming a refractory metal silicide layer.
  86. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Kim Young-sun,KRX, Method for forming dielectric film of capacitor having different thicknesses partly.
  87. Jastrzebski Lubomir L. (Plainsboro NJ) Johansson Ronald J. (Lawrenceville NJ) Sauer Donald J. (Allentown NJ), Method for forming dielectrically isolated transistor.
  88. Rhodes Howard E. (Boise ID) Fazan Pierre C. (Boise ID) Chan Hiang C. (Boise ID) Dennison Charles H. (Boise ID) Liu Yauh-Ching (Boise ID), Method for forming low resistance DRAM digit-line.
  89. Corboy ; Jr. John F. (Ringoes NJ) Jastrzebski Lubomir L. (Plainsboro NJ) Blackstone Scott C. (Hopewell NJ) Pagliaro ; Jr. Robert H. (Trenton NJ), Method for growing monocrystalline silicon through mask layer.
  90. Shoji Akiyama JP; Norihiro Kobayashi JP, Method for heat-treating silicon wafer and silicon wafer.
  91. Liaw Hang M. (Scottsdale AZ) Nguyen Ha T.-T. (Mesa AZ), Method for improved surface planarity in selective epitaxial silicon.
  92. Yu Chang (Boise ID) Doan Trung T. (Boise ID) Sandhu Gurtej S. (Boise ID), Method for improving step coverage of a metallization layer on an integrated circuit by use of a high melting point meta.
  93. Hey H. Peter W ; Carlson David, Method for in-situ cleaning of native oxide from silicon surfaces.
  94. Jastrzebski Lubomir L. (Plainsboro NJ), Method for making a silicon-on-insulator substrate.
  95. Ryum Byung-Ryul (Daejeon KRX) Han Tae-Hyeon (Daejeon KRX) Lee Soo-Min (Daejeon KRX) Cho Deok-Ho (Daejeon KRX) Lee Seong-Hearn (Daejeon KRX) Kang Jin-Young (Daejeon KRX), Method for making bipolar transistor having an enhanced trench isolation.
  96. Bin Yu, Method for making raised source/drain regions using laser.
  97. Sato Fumihiko,JPX, Method for making self-aligned bipolar transistor.
  98. Dai Chang-Ming (Hsinchu TWX), Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer.
  99. Choi Do-chan (Seoul KRX) Kim Kyung-tae (Seoul KRX), Method for manufacturing a DRAM using selective epitaxial growth on a contact.
  100. Kim Sung-tae (Seoul KRX) Kim Kyung-hoon (Seoul KRX) Ko Jae-hong (Seoul KRX), Method for manufacturing a semiconductor device having increased surface area conductive layer.
  101. Choi Do-chan (Seoul KRX) Kim Kyung-tae (Seoul KRX), Method for manufacturing a stacked capacitor DRAM semiconductor device.
  102. Haruo Sunakawa JP; Akira Usui JP, Method for manufacturing group III-V compound semiconductors.
  103. Sunakawa, Haruo; Usui, Akira, Method for manufacturing group III-V compound semiconductors.
  104. Mogami Tohru (Tokyo JPX), Method for manufacturing salicide semiconductor device.
  105. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Lee Sang-min,KRX, Method for manufacturing thin film using atomic layer deposition.
  106. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  107. Itoh Hiromi (Hyogo JPX) Iwasaki Masanobu (Hyogo JPX) Tokui Akira (Hyogo JPX) Tsukamoto Katsuhiro (Hyogo JPX), Method for pretreating semiconductor substrate by photochemically removing native oxide.
  108. Yonehara Takao (c/o Canon Kabushiki Kaisha ; 30-2 ; 3-chome Atsugi JPX) Ozaki Masaharu (c/o Canon Kabushiki Kaisha ; 30-2 ; 3-chome Shimomaruko ; Ohta-ku ; Tokyo JPX), Method for producing a three-dimensional semiconductor device.
  109. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  110. Critchlow Dale L. (Burlington VT) DeBrosse John K. (Essex Junction VT) Mohler Rick L. (Williston VT) Noble ; Jr. Wendell P. (Milton VT) Parries Paul C. (Essex Junction VT), Method for providing silicide bridge contact between silicon regions separated by a thin dielectric.
  111. Reisman Arnold (Raleigh NC) Jones Gary W. (Durham NC), Method for selectively depositing material on substrates.
  112. Reisman Arnold (Raleigh NC), Method for selectively depositing single elemental semiconductor material on substrates.
  113. Wei Che C. (Plano TX) Zaccherini Chiara (Milan TX ITX) Miller Robert O. (The Colony TX) Dixit Girish A. (Dallas TX), Method for self-aligned polysilicon contact formation.
  114. Sandhu Gurtej S. (Boise ID) Doan Trung T. (Boise ID) Yu Chang (Boise ID), Method for simultaneously forming silicide and effecting dopant activation on a semiconductor wafer.
  115. Pribat Daniel (Sevres FRX) Gerard Bruno (Chelles FRX) Legagneux Pierre (Le Mesnil St Denis FRX), Method for the growing of heteroepitaxial layers.
  116. Kang Sang-Bom,KRX ; Lee Sang-In,KRX, Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device.
  117. Trah Hans-Peter (Reutlingen DEX) Findler Guenther (Stuttgart DEX), Method of anisotropically etching monocrystalline, disk-shaped wafers.
  118. Comita Paul B. ; Forstner Hali J. L. ; Ranganathan Rekha, Method of cleaning CVD cold-wall chamber and exhaust lines.
  119. Niino Reiji (Kofu JPX) Fujita Yoshiyuki (Kofu JPX) Lee Hideki (Nirasaki JPX) Imamura Yasuo (Yokohama JPX) Nishimura Toshiharu (Kofu JPX) Mikata Yuuichi (Kawasaki JPX) Miyazaki Shinji (Yokkaichi JPX) , Method of cleaning reaction tube.
  120. Sergey D. Lopatin ; Carl Galewski ; Takeshi T. N. Nogami JP, Method of copper interconnect formation using atomic layer copper deposition.
  121. Corboy ; Jr. John F. (East Amwell Township ; Hunterdon County NJ) Pagliaro ; Jr. Robert H. (Ewing Township ; Mercer County NJ) Jastrzebski Lubomir L. (Plainsboro NJ) Soydan Ramazan (Berkley Township , Method of depositing uniformly thick selective epitaxial silicon.
  122. Chiu Kuang-Yi (Los Altos Hills CA), Method of fabricating a coplanar, self-aligned contact structure in a semiconductor device.
  123. Jeng Jason (Pingtung TWX) Liang Chia-Wen (Hsinchu Hsien TWX), Method of fabricating a self-aligned contact.
  124. Jing-Cheng Lin TW; Shau-Lin Shue TW; Chen-Hua Yu TW, Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process.
  125. Oguro Shizuo,JPX, Method of facet free selective silicon epitaxy.
  126. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method of forming a smooth copper seed layer for a copper damascene structure.
  127. Burghartz Joachim N. (Croton-on-Hudson NY) Ginsberg Barry J. (Yorktown Heights NY) Mader Siegfried (Croton-on-Hudson NY), Method of forming bipolar transistor having self-aligned emitter-base using selective and non-selective epitaxy.
  128. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  129. Chan Tsiu Chiu ; Smith Gregory C., Method of forming raised source/drain regions in an integrated circuit.
  130. Kiyoku Hiroyuki,JPX ; Nakamura Shuji,JPX ; Kozaki Tokuya,JPX ; Iwasa Naruhito,JPX ; Chocho Kazuyuki,JPX, Method of growing nitride semiconductors, nitride semiconductor substrate and nitride semiconductor device.
  131. Komatsu Shigeru (Kitakyushu JPX), Method of making a bipolar semiconductor device.
  132. Zhao Bin ; Vasudev Prahalad K. ; Horwath Ronald S. ; Seidel Thomas E. ; Zeitzoff Peter M., Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer.
  133. Prall Kirk ; Pan Pai-Hung ; Sharan Sujit, Method of making a field effect transistor having an elevated source and an elevated drain.
  134. Raaijmakers, Ivo; Haukka, Suvi P.; Saanila, Ville A.; Soininen, Pekka J.; Elers, Kai-Erik; Granneman, Ernst H. A., Method of making conformal lining layers for damascene metallization.
  135. Beyer Klaus D. (Poughkeepsie NY) Hsu Louis L. (Fishkill NY) Schepis Dominic J. (Wappingers Falls NY) Silvestri Victor J. (Hopewell Junction NY), Method of making defect free silicon islands using SEG.
  136. Riseman Jacob (Poughkeepsie NY), Method of making integrated circuits using metal silicide contacts.
  137. McLaughlin Kevin L. (Chandler AZ) Bushey Thomas P. (Phoenix AZ), Method of making poly-sidewall contact transistors.
  138. Kim Sung-tae (Seoul KRX) Lee Hyeung-gyu (Seoul KRX) Ko Jae-hong (Seoul KRX), Method of making semiconductor device having a capacitor of large capacitance.
  139. Smeltzer Ronald K. (Princeton NJ), Method of making silicon-on-insulator islands.
  140. Burns Gordon P. (Southampton GB2), Method of manufacturing a semiconductor device.
  141. Jung Ho Lee KR; Seung Chul Lee KR, Method of manufacturing a semiconductor device.
  142. Kohyama Yusuke (Yokosuka JPX), Method of manufacturing a semiconductor device.
  143. Zijlstra Piebe A. (Nijmegen NLX), Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the re.
  144. Hokazono, Akira, Method of manufacturing a semiconductor device with an L-shaped/reversed L-shaped gate side-wall insulating film.
  145. Ipposhi Takashi (Hyogo JPX) Sugahara Kozuyuki (Hyogo JPX), Method of producing SOI structures.
  146. Li Li ; Westmoreland Donald L. ; Hawthorne ; deceased Richard C. ; Torek Kevin, Method of wafer cleaning, and system and cleaning solution regarding same.
  147. Wang Fei ; Lyons Christopher F. ; Nguyen Khanh B. ; Bell Scott A. ; Levinson Harry J. ; Yang Chih Yuh, Method using a thin resist mask for dual damascene stop layer etch.
  148. Doan Trung T. (Boise) Sandhu Gurtej S. (Boise ID), Methods for inhibiting outgrowth of silicide in self-aligned silicide process.
  149. Violette Michael P. ; Tang Sanh ; Smith Daniel M., Methods for use in formation of titanium nitride interconnects and interconnects formed using same.
  150. Martin Ceredig Roberts ; Kunal R. Parekh, Methods of electrically contacting to conductive plugs, methods of forming contact openings, and methods of forming dynamic random access memory circuitry.
  151. Granneman,Ernst H. A.; Kuznetsov,Vladimir; Pages,Xavier; van der Jeugd,Cornelius A., Methods of forming silicide films in semiconductor devices.
  152. Bantien Frank (Ditzingen DEX), Micromechanical tilt sensor.
  153. Blackstone Scott C. (Needham MA) Hower Philip L. (Concord MA) Roughan Elizabeth M. (Newton MA) Doucette Christopher H. (Clinton MA) Lee Roy (Andover MA) Cotnam Carolyn Q. (Waltham MA), New diode structure.
  154. Keays, Brady L., Non-volatile memory with block erase.
  155. Logar Roger E. (San Jose CA), Particulate-free epitaxial process.
  156. Cheung David ; Yau Wai-Fan ; Mandal Robert P. ; Jeng Shin-Puu ; Liu Kuo-Wei ; Lu Yung-Cheng ; Barnes Michael ; Willecke Ralf B. ; Moghadam Farhad ; Ishikawa Tetsuya ; Poon Tze Wing, Plasma processes for depositing low dielectric constant films.
  157. Rafferty Kevin ; Rowe Bruce, Plural layered metal repair tape.
  158. Xi Ming ; Nishina Kazuhiro,JPX ; Chen Steve ; Fujita Toshiaki,JPX, Process for chlorine trifluoride chamber cleaning.
  159. Todd, Michael A., Process for deposition of semiconductor films.
  160. Todd, Michael A.; Hawkins, Mark, Process for deposition of semiconductor films.
  161. Bonis Maurice (Crolles FRX), Process for fabricating an integrated circuit using local silicide interconnection lines.
  162. Feygenson Anatoly (Exeter Township ; Berks County PA) Huang Chang-Kuei (Wyomissing Hill Borough PA), Process for fabricating low defect polysilicon.
  163. Hirai Yutaka (Hikone JPX) Matsuyama Jinsho (Nagahama JPX) Shirai Shigeru (Nagahama JPX), Process for forming and etching a film to effect specific crystal growth from activated species.
  164. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Process for making a semiconductor device with barrier film formation using a metal halide and products thereof.
  165. Nishida Shoji (Fujisawa JPX) Yamagata Kenji (Kawasaki JPX), Process for producing a thin silicon solar cell.
  166. Mizutani Hidemasa (Sagamihara JPX), Process for producing crystal article.
  167. Mizutani Hidemasa (Sagamihara JPX), Process for producing crystal article.
  168. Wuu Shou-Gwo (Chu-Gong Hsinchu TWX) Liang Mong-Song (Hsin-chu TWX) Wang Chuan-Jung (Chu-Tong TWX) Su Chung-Hui (Hsinchu TWX), Process of making a polysilicon barrier layer in a self-aligned contact module.
  169. Ivo Raaijmakers NL; Pekka T. Soininen FI; Ernst H. A. Granneman NL; Suvi P. Haukka FI, Protective layers prior to alternating layer deposition.
  170. Sneh Ofer, Radical-assisted sequential CVD.
  171. Cheung David (Foster City CA) Keswick Peter (Newark CA) Wong Jerry (Fremont CA), Reactor chamber self-cleaning process.
  172. Jastrzebski Lubomir L. (Plainsboro NJ), Recessed oxide method for making a silicon-on-insulator substrate.
  173. Markunas Robert J. (Chapel Hill NC) Hendry Robert (Hillsborough NC) Rudder Ronald A. (Cary NC), Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer.
  174. Holmer Arthur Edward ; Litwin Michael Mark ; Albaugh Kevin Bruce, Removal of carbon from substrate surfaces.
  175. Wang Jau-Jey (Hsin-Chu TWX) Chang Ming-Hsung (Hsin-Chu TWX), Salicide process for a MOS semiconductor device using nitrogen implant of titanium.
  176. Kim,Yihwan; Samoilov,Arkadii V., Selective epitaxy process with alternating gas supply.
  177. Chin Maw-Rong (Huntington Beach CA) Warren Gary (Huntington Beach CA) Liao Kuan-Yang (Laguna Niguel CA), Self-aligned contact diffusion barrier method.
  178. Yue Jerry (Roseville MN) Liu Michael S. T. (Bloomington MN), Self-aligned semiconductor device.
  179. O'Brien Sean ; Prinslow Douglas A., Self-aligned silicide process.
  180. Manning H. Monte, Self-aligned silicide strap connection of polysilicon layers.
  181. Chen Chii-Wen (Hsin-Chu TWX) Liang Mong-Song (Hsin-Chu TWX), Self-aligned tin formation by N2+implantation during two-step annealing Ti-salicidation.
  182. Kiyotaka Miyano JP; Ichiro Mizushima JP; Yoshitaka Tsunashima JP; Tomohiro Saito JP, Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor.
  183. Miyamoto Takaaki,JPX, Semiconductor device contains refractory metal or metal silicide with less than 1% weight of halogen atom.
  184. Mee-Young Yoon KR; Sang-In Lee KR; Hyun-Seok Lim KR, Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer.
  185. Pfiester James R. (Austin TX), Semiconductor device having an MOS transistor with overlapped and elevated source and drain.
  186. Maeda Takeo (Tokyo JPX) Momose Hiroshi (Tokyo JPX) Urakawa Yukihiro (Kawasaki JPX) Matsui Masataka (Tokyo JPX), Semiconductor device having bipolar transistor and MOS transistor.
  187. Murthy Anand ; Jan Chia-Hong ; Andideh Ebrahim ; Weldon Kevin, Semiconductor device having deposited silicon regions and a method of fabrication.
  188. Tsukune Atsuhiro (Kawasaki JPX) Suzuki Kiyokatsu (Kawasaki JPX) Matsuura Katsuyoshi (Kawasaki JPX) Mieno Fumitake (Kawasaki JPX) Yamanishi Hirokazu (Kawasaki JPX), Semiconductor device manufacturing apparatus and its cleaning method.
  189. Yu Chang (Boise ID) Doan Trung T. (Boise ID) Sandhu Gurtej S. (Boise ID), Semiconductor metallization method.
  190. Christenson John C. (Kokomo IN) Schubert Peter J. (Kokomo IN), Semiconductor mushroom structure fabrication.
  191. Eberl Karl,DEX ; Brunner Karl,DEX, Semiconductor structure for a transistor.
  192. Sato, Nobuhiko, Semiconductor substrate and method for producing the same.
  193. Hiroki Ose JP, Semiconductor wafer and production method therefor.
  194. Tomoji Watanabe JP; Nobuyuki Mise JP; Toshiyuki Uchino JP; Norio Suzuki JP; Yoshihiko Sakurai JP; Toshiya Uenishi JP; Yohsuke Inoue JP; Yasuhiro Inokuchi JP; Fumihide Ikeda JP, Semiconductor wafer processing apparatus for transferring a wafer mount.
  195. Sherman Arthur, Sequential chemical vapor deposition.
  196. Yoder Max N. (Falls Church VA), Silicon carbide and SiCAlN heterojunction bipolar transistor structures.
  197. Suzuki Akira (Nara JPX) Furukawa Katsuki (Sakai JPX) Shigeta Mitsuhiro (Joyo JPX) Fujii Yoshihisa (Nagoya JPX) Ogura Atsuko (Nara JPX), Silicon carbide semiconductor device.
  198. Croke ; III Edward T., Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors.
  199. Nulman Jaim (Palo Alto CA), Single anneal step process for forming titanium silicide on semiconductor wafer.
  200. Woo Sik Yoo, Single wafer annealing oven.
  201. Wang, Haihong; Xiang, Qi, Strained silicon MOSFET having silicon source/drain regions and method for its fabrication.
  202. Yu Chang (Boise ID) Doan Trung T. (Boise ID) Sandhu Gurtej S. (Boise ID), Stress reduction in metal films by laser annealing.
  203. Thomas, Shawn; Tomasini, Pierre, Stressor for engineered strain on channel.
  204. Rathore Hazara S. ; Dalal Hormazdyar M. ; McLaughlin Paul S. ; Nguyen Du B. ; Smith Richard G. ; Swinton Alexander J. ; Wachnik Richard A., Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity.
  205. Todd, Michael A.; Raaijmakers, Ivo, Thin films and method of making them.
  206. Kakihara Yoshinobu (Nara JPX), Three dimensional semiconductor on insulator substrate.
  207. Price J. B. (Scottsdale AZ) Tobin Philip J. (Scottsdale AZ) Pintchovski Fabio (Mesa AZ) Seelbach Christian A. (San Jose CA), Titanium nitride MOS device gate electrode and method of producing.
  208. Sandhu Gurtej S. (Boise ID), Tungsten silicide (WSix) deposition process for semiconductor manufacture.
  209. Ye, Zhiyuan; Kim, Yihwan; Li, Xiaowei; Zojaji, Ali; Dalida, Nicholas C.; Tang, Jinsong; Chen, Xiao; Samoilov, Arkadii V., Use of CL2 and/or HCL during silicon epitaxial film formation.
  210. Ye, Zhiyuan; Kim, Yihwan; Li, Xiaowei; Zojaji, Ali; Dalida, Nicholas C.; Tang, Jinsong; Chen, Xiao; Samoilov, Arkadii V., Use of Cl2 and/or HCl during silicon epitaxial film formation.
  211. Takahashi Hironari (Itami JPX), Vacuum CVD apparatus.
  212. Mieno Fumitake (Kawasaki JPX) Kurita Kazuyuki (Yokohama JPX) Nakamura Shinji (Yokohama JPX) Shimizu Atuo (Kawasaki JPX), Vapor deposition method for simultaneously growing an epitaxial silicon layer and a polycrystalline silicone layer over.
  213. Parsons James D. (Newbury Park CA) Snyder David E. (Seattle WA), Vertical channel field effect transistor.
  214. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  215. Michael W. Halpin ; Mark R. Hawkins ; Derrick W. Foster ; Robert M. Vyne ; John F. Wengert ; Cornelius A. van der Jeugd ; Loren R. Jacobs ; Frank B. M. Van Bilsen ; Matthew Goodman ; Hartman, Wafer support system.

이 특허를 인용한 특허 (5)

  1. Canaperi, Donald Francis; Grill, Alfred; Mehta, Sanjay C.; Nguyen, Son Van; Priyadarshini, Deepika; Shobha, Hosadurga; Shoudy, Matthew T., Hydrogen-free silicon-based deposited dielectric films for nano device fabrication.
  2. Canaperi, Donald Francis; Grill, Alfred; Mehta, Sanjay C.; Nguyen, Son Van; Priyadarshini, Deepika; Shobha, Hosadurga; Shoudy, Matthew T., Hydrogen-free silicon-based deposited dielectric films for nano device fabrication.
  3. Cheng, Yin-Cheng; Cheng, Po-Lun; Hsu, Ming-Chih; Chang, Ya-Chen; Chu, Hsien-Yao, Method for fabricating semiconductor device.
  4. Chang, Chia-Ming; Liu, Chi-Wen; Huang, Hsin-Chieh; Li, Cheng-Chien, Semiconductor device and method of forming the same.
  5. Chang, Chia-Ming; Liu, Chi-Wen; Huang, Hsin-Chieh; Li, Cheng-Chien, Semiconductor device and method of forming the same.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트