$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Devices with nanocrystals and methods of formation 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-029/788
  • H01L-029/66
  • H01L-029/423
  • B82Y-010/00
  • H01L-021/28
출원번호 US-0959455 (2013-08-05)
등록번호 US-8921914 (2014-12-30)
발명자 / 주소
  • Sandhu, Gurtej S.
  • Durcan, D. Mark
출원인 / 주소
  • Micron Technology, Inc.
대리인 / 주소
    Schwegman Lundberg & Woessner, P.A.
인용정보 피인용 횟수 : 0  인용 특허 : 1473

초록

Devices can be fabricated using a method of growing nanoscale structures on a semiconductor substrate. According to various embodiments, nucleation sites can be created on a surface of the substrate. The creation of the nucleation sites may include implanting ions with an energy and a dose selected

대표청구항

1. An electronic device comprising: a substrate having a pair of diffused regions with a diffusion type opposite that of the substrate;a plurality of dielectric layers disposed over the substrate, the plurality of dielectric layers comprising ion nucleation sites embedded in a surface of the plurali

이 특허에 인용된 특허 (1473)

  1. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., 4 F2 folded bit line DRAM cell structure having buried bit and word lines.
  2. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, 4 F2 folded bit line dram cell structure having buried bit and word lines.
  3. Burns ; Jr. Stuart Mcallister ; Hanafi Hussein Ibrahim ; Welser Jeffrey J. ; Kocon Waldemar Walter, 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation.
  4. Lung Hsing Lan,TWX ; Lu Tao Cheng,TWX ; Wang Mam Tsung,TWX, 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate.
  5. Gurtej Sandhu ; Garo J. Derderian, ALD method to improve surface coverage.
  6. Sandhu, Gurtej; Derderian, Garo J., ALD method to improve surface coverage.
  7. Ahn,Kie Y.; Forbes,Leonard, ALD of amorphous lanthanide doped TiOfilms.
  8. Hansen Craig C. (Los Altos CA) Campbell John G. (Los Altos CA) Robinson Timothy B. (Boulder Creek CA), Accessing system that reduces access times due to transmission delays and I/O access circuitry in a burst mode random ac.
  9. Seabury Charles W. (Agoura CA) Kobrin Paul H. (Newbury Park CA) DeNatale Jeffrey F. (Thousand Oaks CA), Acoustic isolator having a high impedance layer of hafnium oxide.
  10. McIntosh ; III Lester H. ; Goodall Brian L. ; Shick Robert A. ; Jayaraman Saikumar, Addition polymers of polycycloolefins containing silyl functional groups.
  11. Korleski ; Jr. Joseph E., Adhesive-filler film composite.
  12. Lee, Brian S., Advanced contact integration scheme for deep-sub-150 nm devices.
  13. Vo-Dinh Tuan, Advanced surface-enhanced Raman gene probe systems and methods thereof.
  14. Thakur Randhir P. S. (Boise ID) Mathews Viju K. (Boise ID), Advanced technique to improve the bonding arrangement on silicon surfaces to promote uniform nitridation.
  15. Flagan, Richard C.; Atwater, Harry A.; Ostraat, Michele L., Aerosol process for fabricating discontinuous floating gate microelectronic devices.
  16. Flagan, Richard C.; Boer, Elizabeth; Ostraat, Michele L.; Atwater, Harry A.; Bell, II, Lloyd D., Aerosol silicon nanoparticles for use in semiconductor device fabrication.
  17. Abaunza John T. ; Donnangelo Nicholas C., Aircraft icing sensors.
  18. Schulz Robert (Ste-Julie CAX) Van Neste Andre (Ste-Foy CAX) Boily Sabin (Montreal CAX) Jin Shize (Ste-Foy CAX), Alloys of Ti Ru Fe and O and use thereof for the manufacture of cathodes for the electrochemical synthesis of sodium chl.
  19. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices.
  20. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices using textured surface.
  21. Vaartstra, Brian A., Aluminum-containing material and atomic layer deposition methods.
  22. Ma Yanjun ; Ono Yoshi, Aluminum-doped zirconium dielectric film transistor structure and deposition method for same.
  23. Sato Noriaki (Kawasaki JPX) Mieno Fumitake (Kawasaki JPX), An integrated semiconductor device having a buried semiconductor layer and fabrication method thereof.
  24. Visokay, Mark R.; Colombo, Luigi; Rotondaro, Antonio L. P., Anneal sequence for high-κ film property optimization.
  25. Matijasevic, Vladimir; Kaplan, Todd, Apparatus and method for deposition of thin films.
  26. Blank Richard E. (Roanoke VA) Harris James W. (Roanoke VA) Amith Avraham (Roanoke VA), Apparatus and method for heat cleaning semiconductor material.
  27. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  28. Ihantola Heikki,FIX, Apparatus and method for processing of semiconductors, such as silicon chips.
  29. Sneh, Ofer; Seidel, Thomas E.; Galewski, Carl, Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition.
  30. Sandhu Gurtej S. ; Iyer Ravi ; Sharan Sujit, Apparatus and method to increase gas residence time in a reactor.
  31. Philip H. Campbell ; David J. Kubista, Apparatus and process of improving atomic layer deposition chamber performance.
  32. Ahn, Kie Y.; Forbes, Leonard, Apparatus containing cobalt titanium oxide.
  33. Gadgil, Prasad Narhar, Apparatus for atomic layer chemical vapor deposition.
  34. Dutta Arunava (Danvers) Dullea Leonard V. (Peabody) Dale Ernest A. (Hamilton MA), Apparatus for coating small solids.
  35. Aoki Kenji (Tokyo JPX), Apparatus for forming a semiconductor crystal.
  36. Chapek David LeRoy ; Felch Susan Benjamin ; Kissick Michael William ; Malik Shamim Muhammad ; Sheng Tienyu Terry, Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes.
  37. Deguchi Mikio (Itami JPX), Apparatus for producing semiconductor devices.
  38. Sugimoto Kenji (Kyoto JPX), Apparatus for treating the surfaces of wafers.
  39. Marsh, Eugene P.; Kraus, Brenda D, Apparatus including rhodium-based charge traps.
  40. Young Elvan S. (Cupertino CA) Craine Philip L. (Saratoga CA), Architecture for high speed contiguous sequential access memories.
  41. Nichols Michael F. (Columbia MO) Hahn Allen W. (Columbia MO), Article having a composite insulative coating.
  42. Bhattacharyya, Arup, Asymmetric band-gap engineered nonvolatile memory device.
  43. Sud Rahul (Colorado Springs CO) Hardee Kim C. (Manitou Springs CO) Heightley John D. (Monument CO), Asynchronously equillibrated and pre-charged static ram.
  44. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed.
  45. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited Zr-Sn-Ti-O films.
  46. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited Zr-Sn-Ti-O films using TiI4.
  47. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrAlOdielectric layers including ZrAlO.
  48. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrTiOfilms.
  49. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  50. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited hafnium tantalum oxide dielectrics.
  51. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited lanthanide doped TiOx dielectric films.
  52. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited nanolaminates of HfO/ZrOfilms as gate dielectrics.
  53. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics.
  54. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited titanium silicon oxide films.
  55. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited zirconium silicon oxide films.
  56. Akram, Salman; Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection.
  57. Derderian, Garo J.; Sandhu, Gurtej Singh, Atomic layer deposition and conversion.
  58. Paranjpe,Ajit P.; Gopinath,Sanjay; Omstead,Thomas R.; Bubber,Randhir S.; Mao,Ming, Atomic layer deposition for fabricating thin films.
  59. Sandhu, Gurtej S., Atomic layer deposition method with point of use generated reactive gas species.
  60. Marsh, Eugene; Vaartstra, Brian; Castrovillo, Paul J.; Basceri, Cem; Derderian, Garo J.; Sandhu, Gurtej S., Atomic layer deposition methods.
  61. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer.
  62. Zheng, Lingyi A.; Ping, Er-Xuan; Breiner, Lyle; Doan, Trung T., Atomic layer deposition of capacitor dielectric.
  63. Jang,Chuck; Dong,Zhong; Chan,Vei Han; Chen,Ching Hwa, Atomic layer deposition of interpoly oxides in a non-volatile memory device.
  64. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interpoly insulators.
  65. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  66. Sandhu, Gurtej; Doan, Trung T., Atomic layer doping apparatus and method.
  67. Sandhu, Gurtej; Doan, Trung T., Atomic layer doping apparatus and method.
  68. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  69. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  70. Nagahori Takeshi (Tokyo JPX), Automatic offset control circuit for digital receiver.
  71. Kim Myung S. (Seoul) Kim Jong G. (Kyungki) Kim Hyun S. (Kyungki KRX), BICMOS device and manufacturing method thereof.
  72. Brenda D. Kraus ; John T. Moore ; Scott J. DeBoer, Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  73. Kraus Brenda D. ; Moore John T. ; DeBoer Scott J., Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  74. Ibok, Effiong; Zheng, Wei; Tripsas, Nicholas H.; Ramsbey, Mark T.; Cheung, Fred T K, Bi-layer floating gate for improved work function between floating gate and a high-K dielectric layer.
  75. Lechaton John S. (Wappingers Falls NY) Schepis Dominic J. (Wappingers Falls NY), BiCMOS process.
  76. Roberts Ceredig (Boise ID), BiCMOS process and process for forming bipolar transistors on wafers also containing FETs.
  77. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  78. Visokay, Mark Robert; Rotondaro, Antonio Luis Pacheco; Colombo, Luigi, Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing.
  79. Sarangapani Shantha ; Calvert Paul D., Biomimetic calcium phosphate implant coatings and methods for making the same.
  80. Violette Michael ; Roberts Martin Ceredig, Bipolar-CMOS (BiCMOS) process for fabricating integrated circuits.
  81. Hong-Sik Jeong KR; Won-Suk Yang KR; Ki-Nam Kim KR, Bit line landing pad and borderless contact on bit line stud with etch stop layer and manufacturing method thereof.
  82. Yang, Won-Suk; Hwang, Yoo-Sang; Jeong, Hong-Sik; Kim, Ki-Nam, Bit line landing pad and borderless contact on bit line stud with localized etch stop layer and manufacturing method thereof.
  83. Yang, Won-Suk; Song, Sang-Ho; Jeong, Hong-Sik; Kim, Ki-Nam, Bit line pad and borderless contact on bit line stud with localized etch stop layer formed in an undermined region.
  84. Tanaka Shun-ichiro (Yokohama JPX), Bonded metal-ceramics composite.
  85. Al-Shareef Husam N. ; DeBoer Scott J. ; Gealy Dan ; Thakur Randhir P. S., Boride electrodes and barriers for cell dielectrics.
  86. Al-Shareef Husam N. ; DeBoer Scott J. ; Gealy Dan ; Thakur Randhir P. S., Boride electrodes and barriers for cell dielectrics.
  87. Al-Shareef, Husam N.; DeBoer, Scott J.; Gealy, Dan; Thakur, Randhir P. S., Boride electrodes and barriers for cell dielectrics.
  88. Schrems Martin,DEX ; Mandelman Jack ; Hoepfner Joachim ; Schaefer Herbert,DEX ; Stengl Reinhard,DEX, Bottle-shaped trench capacitor with epi buried layer.
  89. Choi Young-Keun (Kyunggi-do KRX), Burn-in test circuit for semiconductor memory device.
  90. Zagar Paul S. (Boise ID) Williams Brett L. (Eagle ID), Burst EDO memory device.
  91. Zagar Paul S. (Boise ID) Williams Brett L. (Eagle ID) Manning Troy A. (Boise ID), Burst EDO memory device.
  92. Ong Adrian (Boise ID) Zagar Paul S. (Boise ID) Wiliams Brett L. (Eagle ID) Manning Troy A. (Boise ID), Burst EDO memory device address counter.
  93. Manning Troy A. (Boise ID), Burst EDO memory device having pipelined output buffer.
  94. Manning Troy A. (Boise ID), Burst EDO memory device with maximized write cycle timing.
  95. Chan Wan-Kan (Sabah MYX), Burst address sequence generator.
  96. Margulis Neal D. (Santa Clara CA) Ishii Takatoshi (Sunnyvale CA), Burst-mode DRAM.
  97. Scales ; III Hunter L. (Austin TX) Moyer William C. (Dripping Springs TX) Wilson William D. (Redwood City CA), Bus master having burst transfer mode.
  98. King, Tsu-Jae; Liu, David K.Y., CMOS compatible process for making a tunable negative differential resistance (NDR) device.
  99. Shinohara Toshiro (Yokosuka JPX) Mihara Teruyoshi (Yokosuka JPX) Yao Kenji (Yokosuka JPX), CMOS having buried layer for carrier recombination.
  100. Maiti Bikas ; Tobin Philip J. ; Mogab C. Joseph ; Hobbs Christopher ; Frisa Larry E.,DEX, CMOS semiconductor devices and method of formation.
  101. Susan J. Babinec ; Mechelle A. Blanchard ; Martin J. Guest ; Brian W. Walther ; Bharat I. Chaudhary ; Russell P. Barry DE, COMPOSITIONS OF INTERPOLYMERS OF .alpha.-OLEFIN MONOMERS WITH ONE OR MORE VINYL OR VINYLIDENE AROMATIC MONOMERS AND/OR ONE OR MORE HINDERED ALIPHATIC OR CYCLOALIPHATIC VINYL OR VINYLIDENE MONOMERS BL.
  102. Sun Shi-Chung, CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET.
  103. Takeshi Kaizuka JP; Takashi Horiuchi JP; Masami Mizukami JP; Takashi Mochizuki JP; Yumiko Kawano JP; Hideaki Yamasaki JP, CVD apparatus and CVD method.
  104. Campbell, Philip H.; Carpenter, Craig M.; Dando, Ross S.; Hamer, Kevin T., CVD apparatuses and methods of forming a layer over a semiconductor substrate.
  105. Lehman Judson A. (Scottsdale AZ) Nakahara Mike (Phoenix AZ) Richardson Nicholas J. (Tempe AZ), Cache memory support in an integrated memory system.
  106. Forbes,Leonard, Capacitive techniques to reduce noise in high speed interconnections.
  107. Banerjee, Aditi; Wise, Rick L.; Crenshaw, Darius L., Capacitor and memory structure and method.
  108. Eldridge, Jerome M., Capacitor dielectric having perovskite-type crystalline structure.
  109. Garo J. Derderian ; Gurtej S. Sandhu, Capacitor fabrication methods and capacitor constructions.
  110. Hui Chihung (John) (Cupertino CA) Szeto Roger (San Jose CA), Capacitor for a BiCMOS device.
  111. Hoffarth Joseph Gerard ; Lauffer John Matthew ; Mahmoud ; deceased Issa Said, Capacitor formed within printed circuit board.
  112. DeBoer, Scott Jeffrey; Al-Shareef, Husam N.; Thakur, Randhir P. S.; Gealy, Dan, Capacitor having tantalum oxynitride film and method for making same.
  113. Scott Jeffrey DeBoer ; Husam N. Al-Shareef ; Randhir P. S. Thakur ; Dan Gealy, Capacitor having tantalum oxynitride film and method for making same.
  114. Sang-don Nam KR; Jin-won Kim KR, Capacitor of semiconductor device.
  115. Ahn, Kie Y.; Forbes, Leonard, Capacitor structure forming methods.
  116. Husam N. Al-Shareef ; Scott Jeffrey DeBoer ; F. Daniel Gealy ; Randhir P. S. Thakur, Capacitor with conductively doped Si-Ge alloy electrode.
  117. Al-Shareef Husam N. ; DeBoer Scott Jeffrey ; Gealy F. Daniel ; Thakur Randhir P. S., Capacitors, methods of forming capacitors, and DRAM memory cells.
  118. Forbes, Leonard; Ahn, Kie Y., Carburized silicon gate insulators for integrated circuits.
  119. Forbes, Leonard; Ahn, Kie Y., Carburized silicon gate insulators for integrated circuits.
  120. Champeau Eugene J., Catheter with thin film electrodes and method for making same.
  121. Ebbinghaus Bartley B. ; Van Konynenburg Richard A. ; Vance Eric R.,AUX ; Stewart Martin W.,AUX ; Jostsons Adam,AUX ; Allender Jeffrey S. ; Rankin David Thomas, Ceramic composition for immobilization of actinides.
  122. Lin Wen-Yi ; Speyer Robert F. ; Shrout Tom R. ; Hackenberger Wesley S., Ceramic compositions for microwave wireless communication.
  123. Hamilton, Darlene G.; Wang, Janet S. Y.; Derhacobian, Narbeh; Thurgate, Tim; Han, Michael K., Charge injection.
  124. Seol,Kwang soo; Jun,Shin ae; Jang,Eun joo; Lim,Jung eun; Cho,Kyung sang; Kim,Byung ki; Lee,Jae ho; Choi,Jae young, Charge trap memory device comprising composite of nanoparticles and method of fabricating the charge trap memory device.
  125. Mardian, Allen P.; Sandhu, Gurtej S., Chemical vapor deposition methods, and atomic layer deposition method.
  126. Wilk, Glen D., Chemical vapor deposition of silicate high dielectric constant materials.
  127. Anand Srinivasan ; Sujit Sharon ; Raj Narasimhan, Chemical vapor deposition process.
  128. Mahawili Imad (Sunnyvale CA), Chemical vapor deposition reactor and method of operation.
  129. Vaartstra, Brian A., Chemical vapor deposition systems including metal complexes with chelating O- and/or N-donor ligands.
  130. Sandhu Gurtej S. ; Fazan Pierre, Chemical vapor deposition using organometallic precursors.
  131. Noble Wendell P. ; Forbes Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  132. Noble, Wendell P.; Forbes, Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  133. Forbes Leonard ; Noble Wendell P., Circuit and method for an open bit line memory cell with a vertical transistor and trench plate trench capacitor.
  134. Jensen, Stephen, Circuit board having ferrite powder containing layer.
  135. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  136. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  137. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  138. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  139. Forbes Leonard ; Geusic Joseph E. ; Ahn Kie Y., Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same.
  140. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Coaxial integrated circuitry interconnect lines, and integrated circuitry.
  141. Deevi, Seetharama C., Coking and carburization resistant iron aluminides for hydrocarbon cracking.
  142. Pascucci, Luigi, Column multiplexer for semiconductor memories.
  143. van den Berg Hendrikus (Venlo-Blerick NLX) Tabersky Ralf (Bottrop DEX) Knig Udo (Essen DEX) Reiter Norbert (Mettmann AUX), Composite body, its use and a process for its production.
  144. Ahn, Kie Y.; Forbes, Leonard, Composite dielectric forming methods and composite dielectrics.
  145. Cogliano Joseph A. (Pasadena MD), Composition and method of controlling solid polyurethane particle size with water reactant.
  146. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Rochester NY), Composition containing a hafnia phosphor of enhanced luminescence.
  147. Srividya, Cancheepuram V.; Gealy, F. Daniel; Graettinger, Thomas M., Comprising agglomerates of one or more noble metals.
  148. Atanasoska, L. Liliana; Shippy, III, J. Lee; Eidenschink, Tracee E. J.; Chandrasekaran, Chandru, Conductive composite electrode material.
  149. Basceri, Cem; Sandhu, Gurtej, Conductive semiconductor structures containing metal oxide regions.
  150. Behnke Eric (Mountain View CA), Configuration of mass storage devices.
  151. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  152. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  153. Bertolet Allan ; Fiore James ; Gramatski Eberhard, Consolidated chip design for wire bond and flip-chip package technologies.
  154. Chen, Tung-Yu; Lai, Han-Chung, Contact structure and manufacturing method thereof.
  155. Cowles Timothy B., Continuous burst edo memory device.
  156. Keeth Brent (Boise ID), Control circuit responsive to its supply voltage level.
  157. Helmut Horst Tews ; Brian S. Lee ; Ulrike Gruening DE, Control of oxide thickness in vertical transistor structures.
  158. Henley Francois J. ; Cheung Nathan W., Controlled cleavage process and device for patterned films using a release layer.
  159. Bunshah Rointan F. (Playa del Rey CA) Deshpandey Chandra V. (Los Angeles CA) Doerr Hans J. (Westlake Village CA) Yoon Jong S. (Northridge CA), Controlled high rate deposition of metal oxide films.
  160. Farrar,Paul A.; Eldridge,Jerome M., Controlling diffusion in doped semiconductor regions.
  161. Subramanian, Ramesh; Keyser, Mercedes, Cooling structure and method of manufacturing the same.
  162. Ahn, Kie Y.; Forbes, Leonard, Copper technology for ULSI metallization.
  163. Wong Manus K. (San Jose CA) Chew Sandy M. (San Jose CA), Corrosion-resistant apparatus.
  164. Perelman Robert D. (Hazel Crest IL), Corrugated coaxial cable.
  165. Manning Troy A., Counter control circuit in a burst memory.
  166. Dhong Sang H. (Mahopac NY) Hwang Wei (Armonk NY) Lu Nicky Chau-Chun (Yorktown Heights NY), Cross-point lightly-doped drain-source trench transistor and fabrication process therefor.
  167. Wood Louis L. (Rockville MD) Frisch Kurt C. (Grosse Ile MI), Crosslinked hydrophilic foams and method.
  168. Redwine Donald J. (Houston TX), Crosspoint dynamic ram cell for folded bitline array.
  169. Kazuyuki Yagi JP, Current detecting device, impedance measuring instrument and power measuring instrument.
  170. Long Stephen I. ; Zhang Qi, Current mode I/O for digital circuits.
  171. Morano David A. (Middletown Township ; Monmouth County NJ), Current mode driver for differential bus.
  172. Forbes Leonard ; Ahn Kie Y., Current mode signal interconnects and CMOS amplifier.
  173. Forbes, Leonard; Ahn, Kie Y., Current mode signal interconnects and CMOS amplifier.
  174. Forbes, Leonard; Ahn, Kie Y., Current mode signal interconnects and CMOS amplifier.
  175. Yeh Fu-Kuo,TWX ; Chen Mei-Yun,TWX, Cursor controlling device and the method of the same.
  176. Forbes Leonard ; Ahn Kie Y., DEAPROM and transistor with gallium nitride or gallium aluminum nitride gate.
  177. Forbes Leonard ; Ahn Kie Y., DEAPROM and transistor with gallium nitride or gallium aluminum nitride gate.
  178. Chatterjee Pallab K. (Richardson TX) Malhi Satwinder (Garland TX) Richardson William F. (Richardson TX), DRAM Cell with trench capacitor and vertical channel in substrate.
  179. Forbes Leonard ; Reinberg Alan R., DRAM and SRAM memory cells with repressed memory.
  180. Forbes, Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  181. Forbes, Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  182. Forbes,Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  183. Aria Percy R. (Sunnyvale CA) Lee Sherman (Rancho Palos Verdes CA), DRAM controller cache.
  184. McLaury Loren L. (Boise ID), DRAM with a two stage voltage pull-down sense amplifier.
  185. Toda Haruki (Kawasaki JPX), Data output circuit for dynamic memory device.
  186. Aldereguia Alfredo (Boca Raton FL) Cromer Daryl C. (Delray Beach FL) Bland Patrick M. (Delray Beach FL) Stutes Rodger M. (Delray Beach FL), Data processing apparatus for dynamically setting timings in a dynamic memory system.
  187. Forbes Leonard ; Ahn Kie Y., Deaprom and transistor with gallium nitride or gallium aluminum nitride gate.
  188. Wei-Lin Shen TW, Deep trench DRAM with SOI and STI.
  189. Jang, Syun-Ming, Delamination resistant multi-layer composite dielectric layer employing low dielectric constant dielectric material.
  190. Derderian, Garo J.; Sandhu, Gurtej S., Deposition methods.
  191. Cabral, Jr.,Cyril; Callegari,Alessandro C.; Gribelyuk,Michael A.; Jamison,Paul C.; Lacey,Dianne L.; McFeely,Fenton R.; Narayanan,Vijay; Neumayer,Deborah A.; Ranade,Pushkar; Zafar,Sufi, Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures.
  192. Moon Jong (Suwon KRX), Device and manufacturing method for a ferroelectric memory.
  193. Moon Jong,KRX, Device and manufacturing method for a ferroelectric memory.
  194. Kiyoshi Nikawa JP, Device and method for nondestructive inspection on semiconductor device.
  195. Shinriki, Hiroshi; Homma, Koji, Device and method for processing substrate.
  196. Sakamoto Mitsuru (Tokyo JPX), Device comprising lower and upper silicon layers as capacitor electrodes and method of manufacturing such devices.
  197. Jeng Guang-kai David (North Plainfield NJ) Mitchell James Winfield (Somerset NJ), Devices comprising films of b3N4 .
  198. Marsh, Eugene P., Devices containing platinum-iridium films and methods of preparing such films and devices.
  199. Uhlenbrock, Stefan; Marsh, Eugene P., Devices containing platinum-rhodium layers and methods.
  200. Marsh, Eugene P., Devices containing zirconium-platinum-containing materials and methods for preparing such materials and devices.
  201. Ahn,Kie Y.; Forbes,Leonard, Devices with HfSiON dielectric films which are Hf-O rich.
  202. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  203. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  204. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  205. Basceri, Cem; Sandhu, Gurtej S., Dielectric cure for reducing oxygen vacancies.
  206. Anthony Thomas C. (Sunnyvale CA), Dielectric films for use in magnetoresistive transducers.
  207. Lee, Jongho; Lee, Nae-In, Dielectric layer for semiconductor device and method of manufacturing the same.
  208. Ahn,Kie Y.; Forbes,Leonard, Dielectric layer forming method and devices formed therewith.
  209. Marsh,Eugene P., Dielectric material forming methods.
  210. Andreoni,Wanda; Curioni,Alessandro; Shevlin,Stephen A., Dielectric materials.
  211. Schneemeyer Lynn Frances ; van Dover Robert Bruce, Dielectric materials of amorphous compositions and devices employing same.
  212. VanDover Robert Bruce, Dielectric materials of amorphous compositions of TI-O.sub.2 doped with rare earth elements and devices employing same.
  213. Ahn, Kie Y.; Forbes, Leonard, Dielectric stack containing lanthanum and hafnium.
  214. Rosenthal Bruce D. (Los Gatos CA), Differential analog memory cell and method for adjusting same.
  215. Victor Sinyansky, Differential electrical transmission line structures employing crosstalk compensation and related methods.
  216. Forbes Leonard, Differential flash memory cell and method for programming.
  217. Marsh Eugene P., Diffusion barrier layers and methods of forming same.
  218. Leonard Forbes ; Wendell P. Noble ; Kie Y. Ahn, Discrete devices including EAPROM transistor and NVRAM memory cell with edge defined ferroelectric capacitance, methods for operating same, and apparatuses including same.
  219. Chuman, Takashi; Yoshikawa, Takamasa; Hata, Takuya; Sakemura, Kazuto; Yamada, Takashi; Negishi, Nobuyasu; Iwasaki, Shingo; Satoh, Hideo; Yoshizawa, Atsushi; Ogasawara, Kiyohide, Display device of flat panel structure with emission devices of matrix array.
  220. Bhargava Rameshwar N. (5 Morningside Ct. Ossining NY 10562), Displays comprising doped nanocrystal phosphors.
  221. Yang,Jean Y.; Erhardt,Jeff P.; Tabery,Cyrus; Qian,Weidong; Ramsbey,Mark T.; Park,Jaeyong; Kamal,Tazrien, Disposable hard mask for memory bitline scaling.
  222. Hause Fred N. ; Bandyopadhyay Basab ; Dawson Robert ; Fulford ; Jr. H. Jim ; Michael Mark W. ; Brennan William S., Dissolvable dielectric method.
  223. Merritt Todd A. (Boise ID) Manning Troy A. (Boise ID), Distributed write data drivers for burst access memories.
  224. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  225. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  226. Ma Yanjun ; Ono Yoshi, Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same.
  227. Leonard Forbes ; Kie Y. Ahn, Double pass transistor logic with vertical gate transistors.
  228. Hsue Chen-Chiu (Hsin-chu TWX), Double polysilicon electrostatic discharge protection device for SRAM and DRAM memory devices.
  229. Bauer Mark E. (Cameron Park CA) Frary Kevin W. (Fair Oaks CA) Talreja Sanjay S. (Folsom CA), Drain bias multiplexing for multiple bit flash cell.
  230. Teng Clarence W. (Plano TX) Chen Cheng-Eng D. (Richardson TX) Mao Bor-Yen (Richardson TX), Dram cell and method.
  231. Gotou Hiroshi (Niiza JPX), Dram cell formed on an insulating layer having a buried semiconductor pillar structure and a manufacturing method thereo.
  232. Kosa Yasunobu (Austin TX), Dram with a vertical capacitor and transistor.
  233. Ang, Chew-Hoe; Wei-Lun, Jeffrey Chee; Lin, Wenhe; Zheng, Jia Zhen, Dual Si-Ge polysilicon gate with different Ge concentrations for CMOS device optimization.
  234. DiMaria Donelli J. (Ossining NY) Dong David W. (Peekskill NY), Dual electron injector structures using a conductive oxide between injectors.
  235. Reedy Ronald E. (San Diego CA) Shimabukuro Randy L. (San Diego CA) Garcia Graham A. (San Diego CA), Dual polarity floating gate MOS analog memory device.
  236. Violette Michael P. (Boise ID), Dual purpose collector contact and isolation scheme for advanced bicmos processes.
  237. Zhigang Wang ; Hsiao Han Thio ; Nian Yang, Dummy gate process to reduce the Vss resistance of flash products.
  238. Nakamura Masayuki (Akishima JPX) Kawahara Takayuki (Hachiouji JPX) Kajigaya Kazuhiko (Iruma JPX) Oshima Kazuyoshi (Ohme JPX) Takahashi Tsugio (Ohme JPX) Otori Hiroshi (Ohme JPX) Matsumoto Tetsuro (Hi, Dynamic RAM and information processing system using the same.
  239. Fally Jacques,FRX, Dynamic distance and position sensor and method of measuring the distance and the position of a surface using a sensor.
  240. Leonard Forbes ; Luan C. Tran ; Alan R. Reinberg ; Joseph E. Geusic ; Kie Y. Ahn ; Paul A. Farrar ; Eugene H. Cloud ; David J. McElroy, Dynamic flash memory cells with ultra thin tunnel oxides.
  241. Forbes Leonard ; Tran Luan C. ; Reinberg Alan R. ; Geusic Joseph E. ; Ahn Kie Y. ; Farrar Paul A. ; Cloud Eugene H. ; McElroy David J., Dynamic flash memory cells with ultrathin tunnel oxides.
  242. Patel Pravin P. (Sugarland TX) Reddy Chitranjan N. (Sugarland TX), Dynamic memory with high speed nibble mode.
  243. Tran Bao G. (Houston TX) Neal Joseph H. (Missouri City TX) White Lionel S. (Houston TX), Dynamic memory with improved address counter for serial modes.
  244. Hieda Katsuhiko (Yokohama JPX), Dynamic ram and method of manufacturing the same.
  245. McElroy David J. (Rosenberg TX), Dynamic ram cell with isolated trench capacitors.
  246. Forbes Leonard ; Ahn Kie Y. ; Noble Wendell P. ; Reinberg Alan R., Dynamic random access memory (DRAM) cells with repressed ferroelectric memory methods of reading same, and apparatuses including same.
  247. Gonzales Fernando (Boise ID), Dynamic random access memory array having a cross-point layout, tungsten digit lines buried in the substrate, and vertic.
  248. Cooper ; Jr. James A. (West Lafayette IN) Melloch Michael R. (West Lafayette IN) Stellwag Theresa B. (West Lafayette IN), Dynamic random access memory device.
  249. Kim Jong S. (Sungnam KRX) Yoon Hee-Koo (Seoul KRX) Choi Chung G. (Kyoungki-Do KRX), Dynamic random access memory having a vertical transistor.
  250. Yamamoto Tadashi (Kawasaki JPX) Sawada Shizuo (Yokohama JPX), Dynamic random access memory having bit lines buried in semiconductor substrate.
  251. Kimura Shin\ichiro (Kunitachi JPX) Kure Tokuo (Tokyo JPX) Kaga Toru (Urawa JPX) Hisamoto Digh (Kokubunji JPX) Takeda Eiji (Koganei JPX), Dynamic random access memory having trench capacitors and vertical transistors.
  252. Bogese ; II Stephen B. (Roanoke VA), EMF Controlled multi-conductor cable.
  253. Graettinger, Thomas M.; Gealy, F. Daniel, Electrical contact for high dielectric constant capacitors and method for fabricating the same.
  254. Thomas M. Graettinger ; F. Daniel Gealy, Electrical contact for high dielectric constant capacitors and method for fabricating the same.
  255. Lemelson Jerome H. (85 Rector St. Metuchen NJ 08840), Electrical device of semi-conducting material with non-conducting areas.
  256. Kock Wulf (Markdorf DEX), Electrically conductive ceramic material.
  257. Mizutani Yoshihisa (Tokyo JPX), Electrically erasable and programmable read only memory.
  258. Kubota Taishi,JPX, Electrically erasable and programmable read only memory cell with split floating gate for preventing cell from over-eras.
  259. Hofmann Franz,DEX ; Krautschneider Wolfgang,DEX ; Willer Josef,DEX ; Reisinger Hans,DEX, Electrically programmable memory cell array, using charge carrier traps and insulation trenches.
  260. Sung-Mu Hsu (I-Lan TWX), Electrically programmable memory device with improved dual floating gates.
  261. Sung-Mu Hsu (I-Lan TWX), Electrically programmable memory device with improved dual floating gates.
  262. Sharma Umesh (Austin TX) Kawasaki Hisao (Austin TX), Electrically programmable read-only memory cell.
  263. Ogitani Osamu (Koshigaya JPX) Shirose Toru (Koshigaya JPX), Electroless plating-resisting ink composition.
  264. Buynoski Matthew S. ; Besser Paul R. ; Xang Qi ; King Paul L. ; Paton Eric N., Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  265. Namiki Takefumi,JPX, Electromagnetic wave analyzer apparatus.
  266. Kazuto Sakemura JP; Shuuichi Yanagisawa JP; Shingo Iwasaki JP; Nobuyasu Negishi JP; Takashi Chuman JP; Takashi Yamada JP; Atsushi Yoshizawa JP; Hideo Satoh JP; Takamasa Yoshikawa JP; Kiyohid, Electron emission device and display apparatus using the same.
  267. Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX, Electron emission device and display device for emitting electrons in response to an applied electric field using the e.
  268. Chuman Takashi,JPX ; Iwasaki Shingo,JPX, Electron emission device and display device using the same.
  269. Ito Hiroshi,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  270. Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Ito Hiroshi,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  271. Negishi Nobuyasu,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Ogasawara Kiyohide,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  272. Takashi Yamada JP; Kiyohide Ogasawara JP; Takamasa Yoshikawa JP; Takashi Chuman JP; Nobuyasu Negishi JP; Shingo Iwasaki JP; Hiroshi Ito JP; Atsushi Yoshizawa JP; Shuuichi Yanagisawa JP; Kazu, Electron emission device and display device using the same.
  273. Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  274. Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display device using the same.
  275. Yoshikawa Takamasa,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX ; Yamaguchi Masataka,JPX ; Iwasaki Shingo,JPX ; Negishi Nobuyasu,JPX ; Chuman Takashi,JPX, Electron emission device and display device using the same.
  276. Yoshizawa Atsushi,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device and display device using the same.
  277. Negishi Nobuyasu,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Ogasawara Kiyohide,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX, Electron emission device and display using the same.
  278. Yoshikawa Takamasa,JPX ; Ogasawara Kiyohide,JPX ; Ito Hiroshi,JPX, Electron emission device and display using the same.
  279. Nobuyasu Negishi JP; Takuya Hata JP; Atsushi Yoshizawa JP; Hideo Satoh JP; Takashi Yamada JP; Takashi Chuman JP; Shingo Iwasaki JP; Takamasa Yoshikawa JP; Hiroshi Ito JP; Kiyohide Ogasawara , Electron emission device with electron supply layer having reduced resistance.
  280. Negishi Nobuyasu,JPX ; Ogasawara Kiyohide,JPX ; Yoshikawa Takamasa,JPX ; Chuman Takashi,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ; Yoshizawa Atsushi,JPX ; Yamada Takashi,JPX ; Yanagisawa Shuuichi,J, Electron emission device with electron supply layer of hydrogenated amorphous silicon.
  281. Yamada Takashi,JPX ; Yoshizawa Atsushi,JPX ; Hata Takuya,JPX ; Iwasaki Shingo,JPX ; Negishi Nobuyasu,JPX ; Chuman Takashi,JPX ; Satoh Hideo,JPX ; Ito Hiroshi,JPX ; Yoshikawa Takamasa,JPX ; Ogasawara , Electron emission device with specific island-like regions.
  282. Atsushi Yoshizawa JP; Hideo Satoh JP; Takashi Yamada JP; Takashi Chuman JP; Nobuyasu Negishi JP; Shingo Iwasaki JP; Takuya Hata JP; Takamasa Yoshikawa JP; Hiroshi Ito JP; Kiyohide Ogasawara , Electron emission light-emitting device and display apparatus using the same.
  283. Ahn, Kie Y.; Forbes, Leonard, Electronic apparatus containing lanthanide yttrium aluminum oxide.
  284. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  285. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  286. Katoh Riichi (Yokohama JPX) Tanamoto Tetsufumi (Kawasaki JPX) Takahashi Shigeki (Kawasaki JPX), Electronic device.
  287. Chindalore,Gowrishankar L.; Swift,Craig T., Electronic device including an array and process for forming the same.
  288. Kashihara Keiichiro (Hyogo JPX) Okudaira Tomonori (Hyogo JPX) Itoh Hiromi (Hyogo JPX), Electronic device using zirconate titanate and barium titanate ferroelectrics in insulating layer.
  289. Craig Jon Hawker ; James L. Hedrick ; Robert D. Miller ; Willi Volksen, Electronic devices with dielectric compositions and method for their manufacture.
  290. Takaya, Minoru; Endo, Toshikazu, Electronic parts.
  291. Chrysler Gregory M. (Poughkeepsie NY) Vader David T. (New Paltz NY), Electronics package with improved thermal management by thermoacoustic heat pumping.
  292. Matsuura Katsumi,JPX ; Takenouchi Shigeki,JPX, Electrophotographic image forming method.
  293. Bailey John M. (Dunlap IL) Towe Carey A. (Peoria IL) Shafer Scott F. (Peoria IL) Blanco Michael M. (Peoria IL), Encapsulated heating filament for glow plug.
  294. Suzuki Kazuaki (Kawasaki JPX), Energy amount control device.
  295. Bojarczuk, Jr., Nestor A.; Cartier, Eduard A.; Guha, Supratik, Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique.
  296. Matthew S. Buynoski ; Paul R. Besser ; Paul L. King ; Eric N. Paton ; Qi Xiang, Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  297. Ahn, Kiey Y.; Forbes, Leonard, Evaporated LaA1O3 films for gate dielectrics.
  298. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-K dielectrics.
  299. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-k dielectrics.
  300. Er-Xuan Ping, Even nucleation between silicon and oxide surfaces for thin silicon nitride film growth.
  301. Cleary Thomas J. ; Wing James C., Exclusion of polymer film from semiconductor wafer edge and backside during film (CVD) deposition.
  302. Fawcett Andrew R. (Los Altos Hills CA), Extended data output DRAM interface.
  303. Scobey Michael A. ; Zhang Xinxiong, External cavity semiconductor laser with monolithic prism assembly.
  304. Peng Nai-Chen,TWX, Fabrication method for an electrically erasable programmable read only memory.
  305. Kohl Paul A. ; Zhao Qiang ; Bidstrup Allen Sue Ann, Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections.
  306. Tai-Ju Chen TW; Hua-Chou Tseng TW, Fabrication of a shallow trench isolation by plasma oxidation.
  307. Dennison Charles H. (Boise ID) Lowrey Tyler A. (Boise ID), Fabrication of complementary n-channel and p-channel circuits (ICs) useful in the manufacture of dynamic random access m.
  308. Beat Robert,GBX, Fast Nor-Nor PLA operating from a single-phase clock.
  309. Kirsch Howard C. (Emmaus PA), Fast column access memory.
  310. Detering Brent A. ; Donaldson Alan D. ; Fincke James R. ; Kong Peter C., Fast quench reactor and method.
  311. Green Robert S. (Boise ID) Moy Thomas H. (Boise ID), Fast sense amplifier.
  312. Nielson Michael E. (Broomfield CO) Brant William A. (Boulder CO) Neben Gary (Boulder CO), Fault tolerant memory system which utilizes data from a shadow memory device upon the detection of erroneous data in a m.
  313. Choate William Clay (Dallas TX), Fault-tolerant cell addressable array.
  314. Takeuchi Kan (Kodaira JPX) Horiguchi Masashi (Kawasaki JPX) Aoki Masakazu (Tokorozawa JPX) Matsuno Katsumi (Kokubunji JPX) Sakata Takeshi (Kunitachi JPX) Etoh Jun (Hachioji JPX) Nakagome Yoshinobu (H, Ferroelectric memory.
  315. Chern Wen-Foo (Wayland MA) Wilson Dennis (Colorado Springs CO), Ferroelectric memory sensing scheme using bit lines precharged to a logic one voltage.
  316. Eliason Jarrod ; Kraus William F., Ferroelectric non-volatile latch circuits.
  317. Koike Hiroki (Tokyo JPX), Ferroelectric random-access memory.
  318. Atanasoska, L. Liliana; Shippy, III, J. Lee; Feng, James Q.; Warner, Robert W., Fibrous electrode material.
  319. Ahn, Kie Y.; Forbes, Leonard, Field emission display having porous silicon dioxide layer.
  320. Ahn, Kie Y.; Forbes, Leonard, Field emission display having reduced power requirements and method.
  321. Noble Wendell P. ; Forbes Leonard, Field programmable logic arrays with vertical transistors.
  322. Noble, Wendell P.; Forbes, Leonard, Field programmable logic arrays with vertical transistors.
  323. Wendell P. Noble ; Leonard Forbes, Field programmable logic arrays with vertical transistors.
  324. Watanabe Yukio (Machida JPX), Field-effect transistor with perovskite oxide channel.
  325. Owen William H. (Los Altos Hills CA) Caywood John (Sunnyvale CA) Drori Joseph (San Jose CA) Jaffe James (Santa Clara CA) Nojima Isao (Sunnyvale CA) Sung Jeffrey (Saratoga CA) Wang Ping (Saratoga CA), Field-programmable redundancy apparatus for memory arrays.
  326. Sandhu, Gurtej; Derderian, Garo J., Film composition.
  327. Noble ; Jr. Wendell P., Five square folded-bitline DRAM cell.
  328. Yider Wu ; Jean Y. Yang ; Hidehiko Shiraiwa ; Che-Hoo Ng, Flash memory erase speed by fluorine implant or fluorination.
  329. Forbes,Leonard; Eldridge,Jerome M., Flash memory with low tunnel barrier interpoly insulators.
  330. Forbes Leonard, Flash memory with microcrystalline silicon carbide film floating gate.
  331. Forbes Leonard, Flash memory with microcrystalline silicon carbide film floating gate.
  332. Forbes Leonard, Flash memory with microcrystalline silicon carbide film floating gate.
  333. Forbes, Leonard, Flash memory with nanocrystalline silicon film coating gate.
  334. Forbes Leonard, Flash memory with nanocrystalline silicon film floating gate.
  335. Forbes Leonard, Flash memory with nanocrystalline silicon film floating gate.
  336. Leonard Forbes, Flash memory with nanocrystalline silicon film floating gate.
  337. Forbes, Leonard; Ahn, Kie Y., Flash memory with ultra thin vertical body transistors.
  338. Leonard Forbes ; Kie Y. Ahn, Flash memory with ultra thin vertical body transistors.
  339. Yanagisawa Shuuchi,JPX ; Yoshikawa Takamasa,JPX ; Sakemura Kazuto,JPX ; Yoshizawa Atsushi,JPX ; Chuman Takashi,JPX ; Negishi Nobuyasu,JPX ; Yamada Takashi,JPX ; Iwasaki Shingo,JPX ; Ito Hiroshi,JPX ;, Flat panel display apparatus with an array of electron emitting devices.
  340. Chuman, Takashi; Yoshikawa, Takamasa; Hata, Takuya; Sakemura, Kazuto; Yamada, Takashi; Negishi, Nobuyasu; Iwasaki, Shingo; Satoh, Hideo; Yoshizawa, Atsushi; Ogasawara, Kiyohide, Flat panel display device utilizing electron emission devices.
  341. Bowater Ronald J. (Romsey NY GB2) Larky Steven P. (New York NY) St. Clair Joe C. (Round Rock TX) Sidoli Paolo G. (Romsey GB2), Flexible dynamic memory controller.
  342. Andricacos Panayotis Constantinou ; Datta Madhav ; Deligianni Hariklia ; Horkans Wilma Jean ; Kang Sung Kwon ; Kwietniak Keith Thomas ; Mathad Gangadhara Swami ; Purushothaman Sampath ; Shi Leathen ;, Flip-Chip interconnections using lead-free solders.
  343. Chan Tsiu C. ; Nguyen Thi N., Floating gate content addressable memory.
  344. Lee Roger R. (Boise ID), Floating gate memory device having discontinuous gate oxide thickness over the channel region.
  345. Faraone Lorenzo (Belle Mead NJ), Floating gate memory device with facing asperities on floating and control gates.
  346. Srinivasan Anand, Flowable germanium doped silicate glass for use as a spacer oxide.
  347. Hess,Ulrich E.; Berhane,Samson; Fartash,Arjang, Fluid-ejection devices and a deposition method for layers thereof.
  348. Tsuruta Makoto (Tokorozawa JPX) Oda Ken (Kamihukuoka JPX), Fluororesin foam.
  349. Topchiashvili Mikhail Izmailovich (ULITSA Tashkentskaya ; 27/12 ; pod\ezd ; 3 Tbilisi SU) Datsko Taisia Fedorovna (PEREULOK Dekabristov ; 1 Tbilisi SU) Kikvilashvili Givi Mikhailovich (ULITSA Griboed, Foamed polymer semiconductor composition and a method of producing thereof.
  350. Forbes, Leonard; Ahn, Kie Y., Folded bit line DRAM with ultra thin body transistors.
  351. Forbes,Leonard; Ahn,Kie Y., Folded bit line DRAM with vertical ultra thin body transistors.
  352. Forbes,Leonard; Ahn,Kie Y., Folded bit line DRAM with vertical ultra thin body transistors.
  353. Lowrey Tyler A. (Boise ID) Kinney Wayne I. (Boise ID), Folded bit line ferroelectric memory device.
  354. O\Toole James E. (Boise ID) Higgins Brian P. (Boise ID), Forced substrate test mode for packaged integrated circuits.
  355. Sobczak Zbigniew P. (Colorado Springs CO), Formation and planarization of silicon-on-insulator structures.
  356. Yu, Bin; Wu, David, Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation.
  357. Ahn, Kie Y.; Forbes, Leonard, Formation of metal oxide gate dielectric.
  358. Kie Y. Ahn ; Leonard Forbes, Formation of metal oxide gate dielectric.
  359. Hsu Louis L. (Fishkill NY) Mathad Gangadhara S. (Poughkeepsie NY) Joshi Rajiv V. (Yorktown Heights NY), Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps.
  360. Andrew T. Hunt ; Wen-Yi Lin ; Tzyy Jiuan Hwang ; Michelle Hendrick ; Helmut G. Hornis, Formation of thin film capacitors.
  361. Hunt Andrew T. ; Hwang Tzyy Jiuan ; Hornis Helmut G. ; Lin Wen-Yi, Formation of thin film capacitors.
  362. Hunt, Andrew T.; Hwang, Tzyy Jiuan; Hornis, Helmut G.; Lin, Wen-Yi, Formation of thin film capacitors.
  363. Hunt Andrew T. ; Flanagan John S. ; Neuman George A., Formation of this film capacitors.
  364. Brask,Justin K.; Kavalieros,Jack; Doczy,Mark L.; Metz,Matthew V.; Datta,Suman; Shah,Uday; Dewey,Gilbert; Chau,Robert S., Forming high-k dielectric layers on smooth substrates.
  365. Noble Wendell P. ; Forbes Leonard ; Ahn Kie Y., Four F.sup.2 folded bit line DRAM cell structure having buried bit and word lines.
  366. Dennison Charles H. (Boise ID) Manning Monte (Kuna ID), Fully planarized thin film transistor (TFT) and process to fabricate same.
  367. Terletzki Hartmud,DEX, GTL output amplifier for coupling an input signal present at the input into a transmission line present at the output.
  368. Deacon Thomas E. ; Cheung David ; Lee Peter Wai-Man ; Huang Judy H., Gas distribution for CVD systems.
  369. Yudovsky, Joseph, Gas distribution system for cyclical layer deposition.
  370. Seung Yoon Yang KR; In Jae Park KR; Jong Woo Yoon KR; Chang Jae Kim KR; Tanigawa Eiki JP, Gas injection system for chemical vapor deposition device.
  371. Ni Tuqiang ; Demos Alex, Gas injection system for plasma processing.
  372. Rigby Leslie J. (Bishops Stortford GB2), Gas sensor.
  373. Ahn, Kie Y.; Forbes, Leonard, Gate oxides, and methods of forming.
  374. Talreja Sanjay S. (Citrus Heights CA) Mills Duane (Folsom CA) Javanifard Jahanshir J. (Sacramento CA) Sambandan Sachidanandan (Folsom CA), Gate/source disturb protection for sixteen-bit flash EEPROM memory arrays.
  375. Ayako Shindo JP, Glass ceramic and temperature compensating member.
  376. Forbes, Leonard; Eldridge, Jerome M., Graded composition gate insulators to reduce tunneling barriers in flash memory devices.
  377. Forbes, Leonard; Eldridge, Jerome M., Graded composition gate insulators to reduce tunneling barriers in flash memory devices.
  378. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Graded composition metal oxide tunnel barrier interpoly insulators.
  379. Eldridge,Jerome M.; Ahn,Kie Y.; Forbes,Leonard, Graded composition metal oxide tunnel barrier interpoly insulators.
  380. Gealy, Dan; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric layers.
  381. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Graded thin films.
  382. Lee Shuit-Tong,HKX ; Wang Ning,HKX ; Lee Chun-Sing,HKX ; Bello Igor,HKX, Growth method for silicon nanowires and nanoparticle chains from silicon monoxide.
  383. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Hafnium lanthanide oxynitride films.
  384. Wilk, Glen D.; Wallace, Robert M., Hafnium nitride gate dielectric.
  385. Ahn, Kie Y.; Forbes, Leonard, Hafnium tantalum oxide dielectrics.
  386. Ahn, Kie Y.; Forbes, Leonard, Hafnium tantalum oxide dielectrics.
  387. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Hafnium tantalum oxynitride high-k dielectric and metal gates.
  388. Ahn, Kie Y.; Forbes, Leonard, Hafnium tantalum titanium oxide films.
  389. Ahn, Kie Y.; Forbes, Leonard, Hafnium tantalum titanium oxide films.
  390. Ahn, Kie Y.; Forbes, Leonard, Hafnium tantalum titanium oxide films.
  391. Ahn, Kie Y.; Forbes, Leonard, Hafnium titanium oxide films.
  392. Ahn, Kie Y.; Forbes, Leonard, Hafnium titanium oxide films.
  393. Paul M. Whitcher ; Robert P. Wierzbicki ; Maurice Valois ; David R. Cultice, Handheld computer system.
  394. Morgan Donald M. (Boise ID) Shore Michael A. (Boise ID), Hardware implemented row copy enable mode for DRAMS to create repetitive backgrounds for video images or DRAM testing.
  395. Towe Carey A. (Peoria IL) Bailey John M. (Dunlap IL) Shafer Scott F. (Peoria IL) Blanco Michael (Peoria IL), Heating element assembly for glow plug.
  396. Ahn, Kie Y.; Forbes, Leonard, HfAlOfilms for gate dielectrics.
  397. Kaushik, Vidya S.; Nguyen, Bich-yen; Pietambaram, Srinivas V.; Schaeffer, III, James Kenyon, High K dielectric film.
  398. Nguyen, Bich-Yen; Zhou, Hong-Wei; Wang, Xiao-Ping, High K dielectric film.
  399. Gnadinger Alfred P. (Colorado Springs CO), High density data storage using stacked wafers.
  400. Vu Duy-Phach ; Dingle Brenda ; Cheong Ngwe, High density electronic circuit modules.
  401. Forbes Leonard ; Noble Wendell P., High density flash memory.
  402. Forbes Leonard ; Noble Wendell P., High density flash memory.
  403. Sandhu Gurtej S. (Boise ID), High density inductively and capacitively coupled plasma chamber.
  404. Wu Andrew L. (Shrewsbury) Smelser Donald W. (Bolton) Bruce ; II E. William (Lunenburg MA) O\Dea John (Galway IRX), High density memory array packaging.
  405. Minghwei Hong ; Ahmet Refik Kortan ; Jueinai Raynien Kwo ; Joseph Petrus Mannaerts, High dielectric constant gate oxides for silicon-based devices.
  406. Govindarajan,Shrinivas, High dielectric constant materials.
  407. Lawrence A. Clevenger ; Louis L. Hsu ; Carl J. Radens ; Joseph F. Shepard, Jr., High dielectric constant materials forming components of DRAM storage cells.
  408. Clevenger, Lawrence A.; Hsu, Louis L.; Radens, Carl J.; Shepard, Jr., Joseph F., High dielectric constant materials forming components of DRAM such as deep-trench capacitors and gate dielectric (insulators) for support circuits.
  409. Parsons, Gregory N.; Chambers, James J.; Kelly, M. Jason, High dielectric constant metal silicates formed by controlled metal-surface reactions.
  410. Symko, Orest G.; Abdel-Rahman, Ehab; Zhang, DeJuan; Klein, Thierry, High frequency thermoacoustic refrigerator.
  411. Farrer Steven M. (Santa Clara CA) Matter Eugene P. (San Jose CA), High integration DRAM controller.
  412. Thomas Michael E. (Cupertino CA) Chinn Jeffrey D. (Foster City CA), High performance interconnect system for an integrated circuit.
  413. Forbes, Leonard; Ahn, Kie Y., High performance silicon contact for flip chip.
  414. Forbes, Leonard; Ahn, Kie Y., High performance silicon contact for flip chip and a system using same.
  415. Lee Ruojia (Boise ID), High performance sub-micron p-channel transistor with germanium implant.
  416. Sandhu Gurtej S. (Boise ID) Fazan Pierre (Boise ID), High performance thin film transistor (TFT) by solid phase epitaxial regrowth.
  417. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability composite films to reduce noise in high speed interconnects.
  418. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability composite films to reduce noise in high speed interconnects.
  419. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability composite films to reduce noise in high speed interconnects.
  420. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability composite films to reduce noise in high speed interconnects.
  421. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability composite films to reduce noise in high speed interconnects.
  422. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability composite films to reduce noise in high speed interconnects.
  423. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability composite films to reduce noise in high speed interconnects.
  424. Akram,Salman; Ahn,Kie Y.; Forbes,Leonard, High permeability layered magnetic films to reduce noise in high speed interconnection.
  425. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability thin films and patterned thin films to reduce noise in high speed interconnections.
  426. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability thin films and patterned thin films to reduce noise in high speed interconnections.
  427. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability thin films and patterned thin films to reduce noise in high speed interconnections.
  428. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability thin films and patterned thin films to reduce noise in high speed interconnections.
  429. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability thin films and patterned thin films to reduce noise in high speed interconnections.
  430. Forbes, Leonard; Ahn, Kie Y.; Akram, Salman, High permeability thin films and patterned thin films to reduce noise in high speed interconnections.
  431. Sanwo Ikuo J. (San Marcos CA) Donahue James A. (Great Falls MT), High speed CMOS backpanel transceiver.
  432. Hesson James H. (Boise ID), High speed CMOS driver circuit.
  433. Eaton ; Jr. Sargent S. (Colorado Springs CO) Wooten David R. (Colorado Springs CO), High speed data transfer for a semiconductor memory.
  434. Sachitano Jack (Portland OR) Park Hee K. (Seoul OR KRX) Boyer Paul K. (Beaverton OR) Eiden Gregory C. (Madison WI) Yamaguchi Tadanori (Hillsboro OR), High speed double polycide bipolar/CMOS integrated circuit process.
  435. Patel Pravin P. (Sugar Land TX) Norwood Roger D. (Sugar Land TX), High speed, low-power nibble mode circuitry for dynamic memory.
  436. Thomas Michael E. (Cupertino CA), High temperature interconnect system for an integrated circuit.
  437. Colombo, Luigi; Chambers, James J.; Rotondaro, Antonio L. P.; Visokay, Mark R., High temperature interface layer growth for high-k gate dielectric.
  438. Rufin Antonio C. (Seattle WA) Westre Willard N. (Bellevue WA), High temperature low thermal expansion fastener.
  439. Karlsson, Olov; Xiang, Qi; Wang, HaiHong; Yu, Bin; Krivokapic, Zoran, High-K dielectric having barrier layer for P-doped devices and method of fabrication.
  440. Hsieh Chang-Ming (Fishkill NY) Hsu Louis L. C. (Fishkill NY) Ogura Seiki (Hopewell Junction NY), High-density DRAM structure on soi.
  441. Jacobson, Joseph M.; Hubert, Brian N.; Ridley, Brent, High-density mechanical memory and turing machine.
  442. Ballantine, Arne W.; Buchanan, Douglas A.; Cartier, Eduard A.; Coolbaugh, Douglas D.; Gousev, Evgeni P.; Okorn-Schmidt, Harald F., High-dielectric constant insulators for FEOL capacitors.
  443. Ahn, Kie Y.; Forbes, Leonard, High-k dielectrics with gold nano-particles.
  444. Ahn, Kie Y.; Forbes, Leonard, High-quality praseodymium gate dielectrics.
  445. Nishimura Kiyoshi,JPX, High-speed responding data storing device for maintaining stored data without power supply.
  446. Matsuda Hajime,JPX, High-speed semiconductor device having a dual-layer gate structure and a fabrication process thereof.
  447. Park,Dae Gyu; Gluschenkov,Oleg G.; Gribelyuk,Michael A.; Wong,Kwong Hon, High-temperature stable gate structure with metallic electrode.
  448. Wong Chun Chiu D., Highly compact memory device with nonvolatile vertical transistor memory cell.
  449. Kenichiro Anjo JP; Masayuki Mizuno JP, Highly integrated circuit including transmission lines which have excellent characteristics.
  450. Ahn, Kie Y.; Forbes, Leonard, Highly reliable amorphous high-k gate dielectric ZrOXNY.
  451. Ahn, Kie Y.; Forbes, Leonard, Highly reliable gate oxide and method of fabrication.
  452. Goeckner Matthew J. ; Fang Ziwei, Hollow cathode for plasma doping system.
  453. Matthew J. Goeckner ; Ziwei Fang, Hollow cathode for plasma doping system.
  454. Subramanian, Ramesh, Honeycomb structure thermal barrier coating.
  455. Lee Seaung Suk,KRX ; Kim Ho Gi,KRX ; Kim Jong Choul,KRX ; Choi Soo Han,KRX, Hot-wall CVD method for forming a ferroelectric film.
  456. Chhabra Navjot (Boise ID) Gibbons Loyal (Boise ID), Hydrofluoric acid etcher and cascade rinser.
  457. Yoon, Dong-Soo, Hydrogen barrier layer and method for fabricating semiconductor device having the same.
  458. Murakami Masanori (Tsuzuki-gun JPX) Koide Yasuo (Kyoto JPX) Teraguchi Nobuaki (Nara JPX) Tomomura Yoshitaka (Nara JPX), II-VI group compound semiconductor device metallic nitride ohmic contact for p-type.
  459. Miyamoto Hirohisa,JPX ; Hirahara Shuzo,JPX ; Shinjo Yasushi,JPX ; Tsunemi Koichi,JPX ; Saito Mitsunaga,JPX ; Hosoya Masahiro,JPX, Image forming device, image forming process, and pattern forming process, and photosensitive material used therein.
  460. Yoshikawa, Takamasa; Satoh, Hideo; Yoshizawa, Atsushi; Yamada, Takashi; Chuman, Takashi; Negishi, Nobuyasu; Iwasaki, Shingo; Sakemura, Kazuto; Hata, Takuya; Ogasawara, Kiyohide, Image pickup device including electron-emitting devices.
  461. Takaya Toshihiko,JPX ; Anzai Shunju,JPX ; Oikawa Tomohiro,JPX, Image-forming device and method of manufacturing dielectric sheet.
  462. Lavi Yoav,ILX ; Dadashev Oleg,ILX, Implementation of EEPROM using intermediate gate voltage to avoid disturb conditions.
  463. Forbes,Leonard, In service programmable logic arrays with low tunnel barrier interpoly insulators.
  464. Leonard Forbes, In-service programmable logic arrays with ultra thin vertical body transistors.
  465. Forbes Leonard ; Geusic Joseph E., Information handling system having improved floating gate tunneling devices.
  466. Koker Gregory T. (Arlington MA), Input buffer circuit with deglitch method and apparatus.
  467. Nakajima Takao (Ebina JPX) Nakamura Kenichi (Tokyo JPX), Input sense circuit having selectable thresholds.
  468. Sarigiannis, Demetrius; Meng, Shuang; Derderian, Garo J., Insitu post atomic layer deposition destruction of active species.
  469. Lee Ruojia (Boise ID) Gonzalez Fernando (Boise ID), Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance.
  470. Juengling Werner ; Prall Kirk D. ; Iyer Ravi ; Sandhu Gurtej S. ; Blalock Guy, Insulating materials.
  471. Groover ; III Robert (Dallas TX), Insulator separated vertical CMOS.
  472. Handy James E. (San Jose CA) Maas Kelly A. (San Jose CA), Integrated cache SRAM memory having synchronous write and burst read.
  473. Howard E. Rhodes ; Mark Visokay ; Tom Graettinger ; Dan Gealy ; Gurtej Sandhu ; Cem Basceri ; Steve Cummings, Integrated capacitors fabricated with conductive metal oxides.
  474. Rhodes, Howard E.; Visokay, Mark; Graettinger, Tom; Gealy, Dan; Sandhu, Gurtej; Basceri, Cem; Cummings, Steve, Integrated capacitors fabricated with conductive metal oxides.
  475. Moise Theodore S. ; Xing Guoqiang ; Visokay Mark ; Gaynor Justin F. ; Gilbert Stephen R. ; Celii Francis ; Summerfelt Scott R. ; Colombo Luigi, Integrated circuit and method.
  476. Tsu Robert ; Asano Isamu,JPX ; Iijima Shinpei,JPX ; McKee William R., Integrated circuit capacitor.
  477. Farrar,Paul A., Integrated circuit cooling system and method.
  478. Yamashita Hiroki (Hachioji JPX) Itoh Hiroyuki (Akigawa JPX) Kawata Atsumi (Hiratsuka JPX) Saitoh Tatsuya (Kokubunji JPX) Nakanishi Keiichirou (Tokyo JPX) Ishida Rieko (Hamura JPX) Chiba Tsuneyo (Kana, Integrated circuit device having different signal transfer circuits for wirings with different lengths.
  479. Fitch Jon T. (Austin TX) Venkatesan Suresh (Austin TX) Witek Keith E. (Austin TX), Integrated circuit having both vertical and horizontal devices and process for making the same.
  480. Mathew, Leo; Muralidhar, Ramachandran, Integrated circuit having multiple memory types and method of formation.
  481. Courtright David A. ; Trawick David L., Integrated circuit having reprogramming cell.
  482. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Integrated circuit memory device and method.
  483. Lee Keun-Ho,KRX ; Choi Chang-Hoon,KRX, Integrated circuit memory devices having nonvolatile single transistor unit cells therein.
  484. Zagar Paul S. (Boise ID) McLaury Loren L. (Boise ID), Integrated circuit memory with asymmetric row access topology.
  485. McClure David C. (Denton TX), Integrated circuit memory with disabled edge transition pulse generation during special test mode.
  486. McLaury Loren L. (Boise ID), Integrated circuit memory with dual P-sense amplifiers associated with each column line.
  487. McLaury Loren L. (Boise ID), Integrated circuit memory with isolation of column line portions through P-sense amplification period.
  488. Kapoor Ashok K. (Palo Alto CA), Integrated circuit structure having floating electrode with discontinuous phase of metal silicide formed on a surface th.
  489. Sandhu Gurtej S. ; Iyer Ravi, Integrated circuitry.
  490. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same.
  491. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  492. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  493. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  494. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  495. Ahn, Kie Y.; Forbes, Leonard, Integrated decoupling capacitors.
  496. Ford Joseph Earl, Integrated opto-mechanical apparatus.
  497. Jeon, Joong S.; Halliyal, Arvind, Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices.
  498. Sato Noriaki (Kawasaki JPX) Mieno Fumitake (Kawasaki JPX), Integrated semiconductor device having a buried semiconductor layer and fabrication method thereof.
  499. Gaibotti Maurizio,ITX ; Costanzo Marco,ITX ; Sorrentino Francesco,ITX, Integrated shielded electric connection.
  500. Ting Chiu H. ; Holtkamp William H., Integrated vacuum and plating cluster system.
  501. Brian Lee TW, Integration method for raised contact formation for sub-150 nm devices.
  502. Yeager Michael W. (Colorado Springs CO) Wilson Dennis R. (Black Forest CO), Integration of high value capacitor with ferroelectric memory.
  503. Havemann Robert H. ; Jeng Shin-Puu ; Gnade Bruce E. ; Cho Chih-Chen, Interconnect structure with an integrated low density dielectric.
  504. Shih-Wei Sun TW, Interconnect structure with gas dielectric compatible with unlanded vias.
  505. Arne W. Ballantine ; Douglas A. Buchanan ; Eduard A. Cartier ; Kevin K. Chan ; Matthew W. Copel ; Christopher P. D'Emic ; Evgeni P. Gousev ; Fenton Read McFeely ; Joseph S. Newbury ; Harald , Interfacial oxidation process for high-k gate dielectric process integration.
  506. Park Chan-Jong (Seoul KRX), Internal supply voltage generation circuit.
  507. Park Yong-Bo (Suwon KRX) Lim Hyung-Kyu (Seoul KRX), Internal voltage generating circuit.
  508. Vyvoda, Michael A.; Herner, S. Brad; Petti, Christopher J.; Walker, Andrew J., Inverted staggered thin film transistor with salicided source/drain structures and method of making same.
  509. Wagner, Sigurd; Chen, Yu, Inverter made of complementary p and n channel transistors using a single directly-deposited microcrystalline silicon film.
  510. Ahn,Kie Y.; Forbes,Leonard, Iridium/zirconium oxide structure.
  511. Glass Thomas R. ; Schofield Kevin H., Irradiation mask.
  512. Sakai Shigeki (Ibaraki JPX) Akoh Hiroshi (Ibaraki JPX) Hayakawa Hisao (Ibaraki JPX) Yagi Akihiko (Kumamoto JPX), Josephson transmission line device.
  513. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  514. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  515. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films by plasma oxidation.
  516. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films.
  517. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films by plasma oxidation.
  518. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  519. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  520. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectrics.
  521. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide dielectric layer.
  522. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide/hafnium oxide dielectrics.
  523. Ahn,Kie; Forbes,Leonard, Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics.
  524. Ahn, Kie Y.; Forbes, Leonard, Lanthanide yttrium aluminum oxide dielectric films.
  525. Ahn, Kie Y.; Forbes, Leonard, Lanthanide yttrium aluminum oxide dielectric films.
  526. Glassman Timothy E. (Danbury CT) Chayka Paul V. (New Milford CT), Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films.
  527. Ahn, Kie Y.; Forbes, Leonard, Lanthanum aluminum oxynitride dielectric films.
  528. Ahn,Kie Y.; Forbes,Leonard, Lanthanum aluminum oxynitride dielectric films.
  529. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  530. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  531. Maria, Jon-Paul; Kingon, Angus Ian, Lanthanum oxide-based dielectrics for integrated circuit capacitors.
  532. Maria, Jon-Paul; Kingon, Angus Ian, Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors.
  533. Kusumoto Naoto,JPX ; Takayama Toru,JPX ; Yonezawa Masato,JPX, Laser annealing method and laser annealing device.
  534. Cullis Anthony G. (Worcester GB2) Webber Hugh C. (Malvern GB2) Bailey Paul (Oldham GB2), Laser beam annealing diffuser.
  535. Liberkowski Janusz B. (5884 Macadam Ct. San Jose CA 95123), Lattice interconnect method and apparatus for manufacturing multi-chip modules.
  536. Laibowitz Robert Benjamin ; Shaw Thomas McCarroll, Lead silicate based capacitor structures.
  537. Frankel Jonathan ; Shmurun Inna ; Sivaramakrishnan Visweswaren ; Fukshansky Eugene, Lid assembly for high temperature processing chamber.
  538. Kerslake Richard M. (Bedford GBX) Fattori Frank R. (Clapham GBX), Line interface circuit and a method of testing such a circuit.
  539. Takahara, Hiroshi, Liquid crystal display panel including a light shielding film to control incident light.
  540. Jeng Shin-Puu, Low capacitance interconnect structure for integrated circuits.
  541. Richard Fastow, Low column leakage nor flash array-double cell implementation.
  542. Paul A. Farrar, Low dielectric constant shallow trench isolation.
  543. Martin Schrems DE; Rolf-Peter Vollertsen ; Joachim Hoepfner DE, Low leakage, low capacitance isolation material.
  544. Ong Adrian H. (Boise ID), Low power regulator for a voltage generator circuit.
  545. Farah-Bakhsh Mohammad H. (Boise ID) Casper Stephen L. (Boise ID), Low power three-stage CMOS input buffer with controlled switching.
  546. Priel Ury (Cupertino CA) Gray Jerry D. (San Jose CA) Frederick Allen H. (Pacifica CA), Low power write-once, read-only memory array.
  547. McAdams, Hugh P., Low power, TTL level CMOS input buffer with hysteresis.
  548. Prall, Kirk D.; Pan, Pai-Hung, Low resistance gate flash memory.
  549. Geusic, Joseph E., Low temperature silicon wafer bond process with bulk material bond strength.
  550. Joseph E. Geusic, Low temperature silicon wafer bond process with bulk material bond strength.
  551. Seyyedy Mirmajid (Boise ID), Low voltage dynamic memory.
  552. Hartstein Allan M. (Chappagua NY) Tischler Michael A. (Danbury CT) Tiwari Sandip (Ossining NY), Low voltage memory.
  553. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics.
  554. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics.
  555. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics.
  556. Ahn,Kie Y.; Forbes,Leonard, Low-temperature growth high-quality ultra-thin praseodymium gate dieletrics.
  557. Cho, Hag-ju, METHODS OF MANUFACTURING INTEGRATED CIRCUIT DEVICES THAT INCLUDE A METAL OXIDE LAYER DISPOSED ON ANOTHER LAYER TO PROTECT THE OTHER LAYER FROM DIFFUSION OF IMPURITIES AND INTEGRATED CIRCUIT DEVICES M.
  558. Hsu, Sheng Teng; Zhang, Fengyan; Li, Tingkai, MFOS memory transistor & method of fabricating same.
  559. Shimabukuro Randy L. (San Diego CA) Stewart Michael E. (La Jolla CA) Shoemaker Patrick A. (Lemon Grove CA) Garcia Graham A. (San Diego CA), MOS analog memory with injection capacitors.
  560. Yu, Bin; Xiang, Qi, MOSFET device having high-K dielectric layer.
  561. McLaury Loren L. (Boise ID), MOSFET gate substrate bias sensor.
  562. Yu, Bin; Paton, Eric N., MOSFET having a double gate.
  563. Forbes, Leonard; Noble, Wendell P.; Cloud, Eugene H., MOSFET technology for programmable address decode and correction.
  564. Yu, Bin; Xiang, Qi; Karlsson, Olov; Wang, HaiHong; Krivokapic, Zoran, MOSFETs with differing gate dielectrics and method of formation.
  565. Ahn,Kie Y.; Forbes,Leonard, Magnesium-doped zinc oxide structures and methods.
  566. Hayashi Kazuhiko (Kanagawa JPX) Ochiai Yoshitaka (Kanagawa JPX) Hayakawa Masatoshi (Kanagawa JPX) Matsuda Hideki (Kanagawa JPX) Ishikawa Wataru (Kanagawa JPX) Iwasaki You (Kanagawa JPX) Aso Koichi (K, Magnetic material having high permeability in the high frequency range.
  567. Hideaki Numata JP; Kouichi Takeda JP, Magnetic random access memory circuit.
  568. Olson Anthony M. (Stevensville MI) Robinson Thomas N. (St. Joseph MI) Rajaram Babu (St. Joseph MI), Main memory access in a microprocessor system with a cache memory.
  569. Manthiram Arumugam ; Kim Jaekook, Manganese oxyiodides and their method of preparation and use in energy storage.
  570. Ohuchi Mitsurou (Tokyo JPX), Memory access control circuit with automatic access mode determination circuitry with read-modify-write and write-per-bi.
  571. Sywyk Stefan P., Memory access method and apparatus and multi-plane memory device with prefetch.
  572. Forbes, Leonard; Ahn, Kie Y., Memory address and decode circuits with ultra thin body transistors.
  573. Leonard Forbes ; Kie Y. Ahn, Memory address and decode circuits with ultra thin body transistors.
  574. Forbes Leonard ; Noble Wendell P., Memory address decode array with vertical transistors.
  575. Leonard Forbes ; Wendell P. Noble, Memory address decode array with vertical transistors.
  576. Doyle Patrick F. (Hillsboro OR) Cross Leonard W. (Beaverton OR) Noar Roger (Tigard OR), Memory address decoder with storage for memory attribute information.
  577. Banks, Gerald J., Memory apparatus including programmable non-volatile multi-bit memory cell, and apparatus and method for demarcating memory states of the cell.
  578. Gerald J. Banks, Memory apparatus including programmable non-volatile multi-bit memory cell, and apparatus and method for demarcating memory states of the cell.
  579. McLaury Loren (Boise ID), Memory array write addressing circuit for simultaneously addressing selected adjacent memory cells.
  580. Ward Calvin B. (9580 Crow Canyon Rd. Castro Valley CA 94546), Memory based on arrays of capacitors.
  581. Gonzalez, Fernando, Memory cell arrays.
  582. Evans ; Jr. Joseph T. (Albuquerque NM) Bullington Jeff A. (Albuquerque NM), Memory cell based on ferro-electric non volatile variable resistive element.
  583. Stewart Roger G. (Hillsborough Township ; Somerset County NJ) Ipri Alfred C. (Hopewell Township ; Mercer County NJ) Napoli Louis S. (Hamilton Township ; Mercer County NJ), Memory cell for a dense EPROM.
  584. Noble Wendell P. ; Forbes Leonard ; Ahn Kie Y., Memory cell having a vertical transistor with buried source/drain and dual gates.
  585. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., Memory cell having a vertical transistor with buried source/drain and dual gates.
  586. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, Memory cell having a vertical transistor with buried source/drain and dual gates.
  587. Sandhu, Sukesh; Gealy, Dan; Sandhu, Gurtej Singh, Memory cell with tight coupling.
  588. Forbes Leonard ; Noble Wendell P. ; Ahn Kie Y., Memory cell with vertical transistor and buried word and body lines.
  589. Forbes Leonard ; Noble Wendell P. ; Ahn Kie Y., Memory cell with vertical transistor and buried word and body lines.
  590. Leonard Forbes ; Wendell P. Noble ; Kie Y. Ahn, Memory cell with vertical transistor and buried word and body lines.
  591. McLaury Loren (Boise ID), Memory circuit for pre-loading a serial pipeline.
  592. Morgan Donald M. (Boise ID), Memory circuit with foreshortened data output signal.
  593. Hinkle Lee B. (Tomball TX) Thome Gary W. (Tomball TX) Santeler Paul A. (Cypress TX) Wooten David R. (Spring TX) Landry John A. (Tomball TX), Memory controller that dynamically predicts page misses.
  594. Yoshida Kenichi (Tokyo JPX), Memory controller which can carry out a high speed access when supplied with input addresses with a time interval left b.
  595. Harness Jeffrey F., Memory controller with burst addressing circuit.
  596. Nakazato, Kazuo; Itoh, Kiyoo; Mizuta, Hiroshi; Sato, Toshihiko; Shimada, Toshikazu; Ahmed, Haroon, Memory device.
  597. Sucharita Madhukar ; Ramachandran Muralidhar ; David L. O'Meara ; Kristen C. Smith ; Bich-Yen Nguyen, Memory device and method for manufacture.
  598. Likharev Konstantin K., Memory device having a crested tunnel barrier.
  599. Aronowitz,Sheldon; Zubkov,Vladimir; Sun,Grace S., Memory device having an electron trapping layer in a high-K dielectric gate stack.
  600. Kametani Masatsugu (Ibaraki JPX), Memory device having refresh mode returning previous page address for resumed page mode.
  601. Muralidhar Ramachandran ; Subramanian Chitra K. ; Madhukar Sucharita ; White Bruce E. ; Sadd Michael A. ; Zafar Sufi ; O'Meara David L. ; Nguyen Bich-Yen, Memory device that includes passivated nanoclusters and method for manufacture.
  602. Nakazato, Kazuo, Memory device using hot charge carrier converters.
  603. Gilliam Gary R. (Boise ID) Renfro Steve G. (Boise ID) Cutler Kacey (Boise ID) Ochoa Roland (Boise ID) Schneider Craig E. (Boise ID), Memory device with a sense amplifier.
  604. Nakazato Kazuo,GBX ; Itoh Kiyoo,JPX ; Mizuta Hiroshi,JPX ; Sato Toshihiko,GBX ; Shimada Toshikazu,JPX ; Ahmed Haroon,GBX, Memory device with improved charge storage barrier structure.
  605. Lin James J. Y. (Hsinchu TWX), Memory device with page select capability.
  606. Merritt Todd A. (Boise ID) Blodgett Greg A. (Boise ID), Memory device with pulse circuit for timing data output, and method for outputting data.
  607. Mori Toshihiko (Kawasaki JPX), Memory device, method for reading information from the memory device, method for writing information into the memory dev.
  608. Walther Terry R. (Boise ID) Casper Stephen L. (Boise ID), Memory integrated circuit test mode switching.
  609. Forbes Leonard ; Geusic Joseph E., Memory using insulator traps.
  610. Forbes Leonard ; Geusic Joseph E., Memory using insulator traps.
  611. Forbes Leonard ; Geusic Joseph E., Memory using insulator traps.
  612. Forbes, Leonard; Geusic, Joseph E., Memory using insulator traps.
  613. Leonard Forbes ; Joseph E. Geusic, Memory using insulator traps.
  614. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide nanolaminates.
  615. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide-conductor nanolaminates.
  616. Hazani Emanuel (1210 Sesame Dr. Sunnyvale CA 94887), Memory with isolatable expandable bit lines.
  617. McLaury Loren L. (Boise ID), Memory with isolated digit lines.
  618. Bates Matthew D. (Southampton GB3) Gay Adrian C. (Fareham GB3) West Roderick M. (Chandler\s Ford VT GB3) Williams Todd (Essex VT), Memory with page mode.
  619. K. O. Kenneth (Cambridge MA) Lee Hae-Seung (Watertown MA) Reif L. Rafael (Newton MA), Merged bipolar and insulated gate transistors.
  620. Hentges, Patrick J.; Greene, Laura H.; Pafford, Margaret Mary; Westwood, Glenn; Klemperer, Walter G., Metal alkoxides and methods of making same.
  621. Kirlin Peter S. ; Brown Duncan W. ; Baum Thomas H. ; Vaarstra Brian A. ; Gardiner Robin A., Metal complex source reagents for chemical vapor deposition.
  622. Brian A. Vaartstra, Metal complexes with chelating O-and/or N-donor ligands.
  623. Schaeffer, III,James K.; Adetutu,Olubunmi O., Metal gate transistor CMOS process and method for making.
  624. Sam Yang ; Vishnu K. Agarwal, Metal oxynitride capacitor barrier layer.
  625. Forbes,Leonard; Farrar,Paul A.; Ahn,Kie Y., Metal-substituted transistor gates.
  626. Brasen Daniel (Lake Hiawatha NJ) Willens Ronald H. (Warren NJ), Metallized semiconductor device including an interface layer.
  627. O\Connor Loretta J. (Westford VT) Previti-Kelly Rosemary A. (Richmond VT) Reen Thomas J. (Essex Junction VT), Metallized vias in polyimide.
  628. McDavid James M. (Dallas TX) Clark David R. (Garland TX), Method and apparatus for a filament channel pass gate ferroelectric capacitor memory cell.
  629. Bloomquist Douglas D. ; Buchheit Rudy ; Greenly John B. ; McIntyre Dale C. ; Neau Eugene L. ; Stinnett Regan W., Method and apparatus for altering material using ion beams.
  630. Dhuey Michael (Cupertino CA), Method and apparatus for determining available memory size.
  631. McGraw Joseph M. (Lewisburg WV) Campbell David E. (Maxwelton WV), Method and apparatus for determining microprocessor kernal faults.
  632. Nagakubo Masao (Chiryu JPX) Fujino Seiji (Toyota JPX) Senda Kouji (Oobu JPX) Hattori Tadashi (Okazaki JPX), Method and apparatus for direct bonding two bodies.
  633. Dennis Roger Peterson ; Dennis Eugene Wilson, Method and apparatus for direct electrothermal-physical conversion of ceramic into nanopowder.
  634. Peterson, Dennis Roger; Wilson, Dennis Eugene, Method and apparatus for direct electrothermal-physical conversion of ceramic into nanopowder.
  635. Jiang Tongbi ; Li Li, Method and apparatus for electroless plating a contact pad.
  636. Matthew J. Goeckner ; Charles E. Van Wagoner, Method and apparatus for eliminating displacement current from current measurements in a plasma processing system.
  637. Heybruck William F. (Charlotte NC), Method and apparatus for field testing field programmable logic arrays.
  638. Lipe Ralph (Kirkland WA), Method and apparatus for identifying read only memory.
  639. Petefish William George, Method and apparatus for improving wireability in chip modules.
  640. Kersh ; III David V. (Houston TX) Norwood Roger D. (Sugarland TX), Method and apparatus for inhibiting a predecoder when selecting a redundant row line.
  641. Goeckner, Matthew J.; Fang, Ziwei, Method and apparatus for low voltage plasma doping using dual pulses.
  642. Matthew J. Goeckner ; Ziwei Fang, Method and apparatus for low voltage plasma doping using dual pulses.
  643. Stephens ; Jr. Michael C. (Stafford TX), Method and apparatus for preventing invalid operating modes and an application to synchronous memory devices.
  644. Venkataranan Shankar ; Hendrickson Scott ; Shmurun Inna ; Nguyen Son T., Method and apparatus for processing semiconductive wafers.
  645. Dunham Scott William, Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes.
  646. Daniel Gealy ; Dave Chapek ; Scott DeBoer ; Husam N. Al-Shareef ; Randhir Thakur, Method and apparatus for stabilizing high pressure oxidation of a semiconductor device.
  647. Gealy F. Daniel ; Chapek Dave ; DeBoer Scott ; Al-Shareef Husam N. ; Thakur Randhir, Method and apparatus for stabilizing high pressure oxidation of a semiconductor device.
  648. Ahn, Kie Y.; Forbes, Leonard, Method and apparatus for the fabrication of ferroelectric films.
  649. Kie Y. Ahn ; Leonard Forbes, Method and apparatus for the fabrication of ferroelectric films.
  650. Jones ; Jr. Oscar F. (Colorado Springs CO), Method and circuit for improved timing and noise margin in a DRAM.
  651. Bauer Mark E. ; Wells Steven ; Brown David M. ; Javanifard Johnny ; Sweha Sherif ; Hasbun Robert N. ; Gallagher Gary J. ; Rashid Mamun ; Rozman Rodney R. ; Hawk Glen ; Blanchard George ; Winston Mark, Method and circuitry for usage of partially functional nonvolatile memory.
  652. Klauk,Hagen; Schmid,G체nter, Method and device for reducing the contact resistance in organic field-effect transistors by embedding nanoparticles to produce field boosting.
  653. Wang,Ming Fang; Chen,Chia Lin; Yang,Chih Wei; Chen,Chi Chun; Hou,Tuo Hung; Lin,Yeou Ming; Yao,Liang Gi; Chen,Shih Chang, Method and structure for forming high-k gates.
  654. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  655. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  656. Ma Manny K. F., Method and structure for providing signal isolation and decoupling in an integrated circuit device.
  657. Farrar Paul A., Method and support structure for air bridge wiring of an integrated circuit.
  658. Gardner Mark I. ; Nistler John L. ; May Charles E., Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices.
  659. Sharan Sujit ; Sandhu Gurtej S., Method for PECVD deposition of selected material films.
  660. Goesele Ulrich M. (Durham NC) Lehmann Volker (Durham NC), Method for bubble-free bonding of silicon wafers.
  661. Thakur Randhir P. S., Method for cleaning semiconductor wafers.
  662. Thakur Randhir P.S., Method for cleaning semiconductor wafers and.
  663. Basceri, Cem; Gealy, Dan; Sandhu, Gurtej S., Method for controlling deposition of dielectric films.
  664. Farrar,Paul A.; Eldridge,Jerome M., Method for controlling diffusion in semiconductor regions.
  665. Chapek David L., Method for controlling the morphology of deposited silicon on a silicon dioxide substrate and semiconductor devices incorporating such deposited silicon.
  666. Noble Wendell P., Method for coupling to semiconductor device in an integrated circuit having edge-defined, sub-lithographic conductors.
  667. Sommer Diether (Munich DEX) Savignac Dominique (Ismaning DEX), Method for data transfer for a semiconductor memory using combined control signals to provide high speed transfer, and s.
  668. Chang, Jane; Lin, You-Sheng; Kepten, Avishai; Sendler, Michael; Levy, Sagy; Bloom, Robin, Method for depositing a coating having a relatively high dielectric constant onto a substrate.
  669. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method for depositing a nanolaminate film by atomic layer deposition.
  670. Rolfson J. Brett, Method for depositing doped amorphous or polycrystalline silicon on a substrate.
  671. Forrest Stephen R. ; Bulovic Vladimir ; Burrows Paul, Method for deposition and patterning of organic thin film.
  672. Yamazaki Shunpei,JPX ; Takemura Yasuhiko,JPX, Method for fabricating EPROM device.
  673. Hopfner Joachim,DEX, Method for fabricating a semiconductor component.
  674. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  675. Radens Carl ; Mandelman Jack A. ; Hoepfner Joachim,DEX, Method for fabricating a trench capacitor.
  676. Eugene P. Marsh, Method for fabricating an SrRuO3 film.
  677. Richardson, Christine E.; Atwater, Harry A., Method for fabricating crystalline silicon.
  678. Thakur Randhir P. S. (Boise ID) Martin Annette L. (Boise ID) Kauffman Ralph E. (Boise ID), Method for fabricating hybrid oxides for thinner gate devices.
  679. Kim, Younsoo, Method for fabricating metal electrode with atomic layer deposition (ALD) in semiconductor device.
  680. Kahng Dawon (Bridgewater Township ; Somerset County NJ) La Bate Ernest Edward (South Plainfield NJ) Lepselter Martin Paul (Summit NJ) Ligenza Joseph Raymond (Califon NJ), Method for fabricating multilayer insulator-semiconductor memory apparatus.
  681. Sandhu Gurtej S. (Boise ID) Thakur Randhir P. S. (Boise ID), Method for fabricating stacked layer Si3N4 for low leakage high capacitance films using rapi.
  682. Dalal Hormazdyar M. (Wappingers Falls NY) Ghafghaichi Majid (Poughkeepsie NY) Kasprzak Lucian A. (Hopewell Junction NY) Wimpfheimer Hans (Poughkeepsie NY), Method for fabricating tantalum semiconductor contacts.
  683. Messing, Gary L.; Kwon, Songtae; Sabolsky, Edward M., Method for fabrication of lead-based perovskite materials.
  684. Tarui Yasuo (No. 6-4 ; Minamisawa 5-chome Higashikurume City ; Tokyo JPX) Soutome Yoshihiro (Osaka JPX) Morita Shinichi (Yokosuka JPX) Tanimoto Satoshi (Tokyo JPX), Method for ferroelectric thin film production.
  685. Chivukula Subrahamanyam,SGX ; Pradeep Yelehanka Ramachandramurthy,SGX ; Mukhopdhyay Madhusudan,SGX ; Balasubramaniam Palanivel,SGX, Method for forming PLDD structure with minimized lateral dopant diffusion.
  686. Choi, Sung-Je, Method for forming a dielectric layer of a semiconductor device.
  687. Park Dong Su,KRX, Method for forming a gate insulating film for semiconductor devices.
  688. Vidya S. Kaushik, Method for forming a high dielectric constant material.
  689. Ingersoll,Paul A.; Chindalore,Gowrishankar L.; Muralidhar,Ramachandran, Method for forming a memory structure using a modified surface topography and structure thereof.
  690. Agarwal, Vishnu K.; Derderian, Garo J.; Gealy, F. Daniel, Method for forming a multilayer electrode for a ferroelectric capacitor.
  691. Trivedi, Jigish D., Method for forming a notched damascene planar poly/metal gate.
  692. Forbes, Leonard, Method for forming a programmable decoder with vertical transistors.
  693. Allen David H. (Boise ID), Method for forming a shielding structure for decoupling signal traces in a semiconductor.
  694. Zhou Mei-Sheng,SGX ; Chooi Simon,SGX, Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer.
  695. Ma Manny, Method for forming compact memory cell using vertical devices.
  696. Geusic Joseph E. ; Forbes Leonard ; Ahn Kie Y., Method for forming high capacitance memory cells.
  697. Noble Wendell P. ; Forbes Leonard, Method for forming high density flash memory.
  698. Maiti Bikas ; Tobin Philip J. ; Hegde Rama I. ; Cuellar Jesus, Method for forming high dielectric constant metal oxides.
  699. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method for forming integrated circuits using high aspect ratio vias through a semiconductor wafer.
  700. Choi, Eun-Seok, Method for forming metal films.
  701. Jong-myeong Lee KR; Hyun-seok Lim KR; Byung-hee Kim KR; Gil-heyun Choi KR; Sang-in Lee KR, Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby.
  702. Yun-sook Chae KR; Sang-bom Kang KR; Gil-heyun Choi KR; In-sang Jeon KR, Method for forming metal layer of semiconductor device using metal halide gas.
  703. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  704. Vaartstra Brian A., Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands.
  705. William R. Tonti ; Claude L. Bertin ; Jeffrey P. Gambino ; Russell J. Houghton ; Jack A. Mandelman ; Wilbur D. Pricer, Method for forming mixed high voltage (HV/LV) transistors for CMOS devices using controlled gate depletion.
  706. Yano Yoshihiko,JPX ; Noguchi Takao,JPX ; Nagano Katsuto,JPX, Method for forming oxide thin film and the treatment of silicon substrate.
  707. Yang, Haining; Sandhu, Gurtej S., Method for forming platinum-rhodium stack as an oxygen barrier.
  708. Cho, Ho Jin, Method for forming polyatomic layers.
  709. Kim Ki Bum,KRX ; Yoon Tae Sik,KRX ; Kwon Jang Yeon,KRX, Method for forming quantum dot in semiconductor device and a semiconductor device resulting therefrom.
  710. Park Bo Hyun,KRX, Method for forming shallow junction for semiconductor device.
  711. Ahn, Kie Y.; Forbes, Leonard, Method for forming single electron resistor memory.
  712. Zavracky Paul M. (Norwood MA) Zavracky Matthew (Attleboro MA) Vu Duy-Phach (Taunton MA) Dingle Brenda (Mansfield MA), Method for forming three dimensional processor using transferred thin film circuits.
  713. Hoepfner Joachim, Method for forming trench capacitors in an integrated circuit.
  714. Ritala, Mikko; Rahtu, Antti; Leskela, Markku; Kukli, Kaupo, Method for growing thin oxide films.
  715. Shahvandi Iraj,DEX ; Vatel Oliver,DEX ; John Peggy,DEX, Method for heating a semiconductor wafer in a process chamber by a shower head, and process chamber.
  716. Neufeld E. David (Tomball TX), Method for improving partial stripe write performance in disk array subsystems.
  717. Olson Anthony M. (Stevensville MI) Rajaram Babu (St. Joseph MI) Robinson Thomas N. (St. Joseph MI), Method for improving the page hit ratio of a page mode main memory system.
  718. Ruff, Alexander; Kegel, Wilhelm; Karcher, Wolfram; Schrems, Martin, Method for increasing the capacitance in a storage trench.
  719. Ernst Bayer DE; Hans Fritz DE; Martin Maier ; Jens Schewitz DE; Michael Gerster DE, Method for isolating anionic organic substances from aqueous systems using cationic polymer nanoparticles.
  720. Ahn, Kie Y.; Forbes, Leonard, Method for making a ferroelectric memory transistor.
  721. David Christopher Gilmer, Method for making a hafnium-based insulating film.
  722. Kraus, Brenda D; Marsh, Eugene P., Method for making conductive nanoparticle charge storage element.
  723. Feenstra Roeland ; Christen David ; Paranthaman Mariappan, Method for making high-critical-current-density YBa.sub.2 Cu.sub.3 O.sub.7 superconducting layers on metallic substrate.
  724. Klinedinst Keith A. (Marlboro MA) Gary Richard A. (Everett MA) Lichtensteiger Silvia E. (Acton MA), Method for making moisture insensitive zinc sulfide based luminescent materials.
  725. Kub, Francis J.; Hobart, Karl D., Method for making piezoelectric resonator and surface acoustic wave device using hydrogen implant layer splitting.
  726. Christopher C. Hobbs ; Baohong Cheng ; Lurae G. Dip, Method for making semiconductor device.
  727. Bhattacharyya Arup (Essex Junction VT) Chu Wei-Kan (Poughkeepsie NY) Howard James K. (Fishkill NY) Wiedman Francis W. (Stowe VT), Method for manufacture of ultra-thin film capacitor.
  728. Won Tae Y. (Seoul) Kim Moon H. (Seoul) Yoo Kwang D. (Incheon) Yoo Ji H. (Bucheon KRX), Method for manufacturing BICMOS devices.
  729. Hong Gary,TWX, Method for manufacturing DRAM capacitor.
  730. Iwasaki Hiroshi (Chigasaki JPX), Method for manufacturing a BiCMOS device.
  731. Iwasaki Hiroshi (Chigasaki JPX) Ito Shintaro (Yokohama JPX), Method for manufacturing a semiconductor integrated device including bipolar and CMOS transistors.
  732. DeHaven Robert Keith (Austin TX) Wenzel James F. (Austin TX), Method for manufacturing a stimulus wafer for use in a wafer-to-wafer testing system to test integrated circuits located.
  733. Iwaki,Takashi; Tsukamoto,Takeo, Method for manufacturing carbon fibers and electron emitting device using the same.
  734. Tatsuro Maeda JP, Method for manufacturing self-matching transistor.
  735. Ahrens Michael G. ; Dejenfelt Anders T. ; Lin Qi ; Olah Robert A., Method for operating flash memory.
  736. Thakur Randir P. S. (Boise ID) Gonzalez Fernando (Boise ID), Method for optimizing thermal budgets in fabricating semiconductors.
  737. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  738. Soininen Erkki (Espoo FIX) Leppnen Marja (Espoo FIX), Method for preparing a multilayer structure for electroluminescent components.
  739. Leskela, Markku; Ritala, Mikko; Alen, Petra; Juppo, Marika, Method for preparing metal nitride thin films.
  740. Thakur, Randhir P. S.; Weimer, Ronald A., Method for processing wafers in a semiconductor fabrication system.
  741. Tuan Hsiao-Chin (Hsin-Chu TWX) Chou Hsiang-Ming J. (Hsin-Chu TWX), Method for producing a roughened surface capacitor.
  742. Schwalke Udo (Heldenstein DEX), Method for producing an insulating trench in an SOI substrate.
  743. Stecher Matthias,AUX ; Gutheit Tim,DEX ; Schwetlick Werner,DEX, Method for producing bridged doped zones.
  744. Watanabe Yoshitane,JPX ; Suzuki Keitaro,JPX ; Koyama Yoshinari,JPX ; Iijima Motoko,JPX, Method for producing composite sol, coating composition, and optical element.
  745. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  746. Schulz, Robert; Huot, Jacques; Liang, Guoxian; Boily, Sabin, Method for producing gaseous hydrogen by chemical reaction of metals or metal hydrides subjected to intense mechanical deformations.
  747. Eugene P. Marsh, Method for producing low carbon/oxygen conductive layers.
  748. Eugene P. Marsh, Method for producing low carbon/oxygen conductive layers.
  749. Phillips, Jonathan; Perry, William L.; Kroenke, William J., Method for producing metallic microparticles.
  750. Phillips, Jonathan; Perry, William L.; Kroenke, William J., Method for producing metallic nanoparticles.
  751. Bergemont Albert (Palo Alto CA) Chi Min-Hwa (Palo Alto CA), Method for programming a single EPROM or FLASH memory cell to store multiple levels of data that utilizes a floating sub.
  752. Yu, Kathleen C.; Travis, Edward O.; Smith, Bradley P., Method for providing a dummy feature and structure thereof.
  753. Hanson David A., Method for reducing via inductance in an electronic assembly and article.
  754. Kawai Motochika (Fujisawa JA) Shimozawa Izuru (Odawara JA), Method for reinforcing aqueous hydraulic cement.
  755. Doan Trung T. (1574 Shenandoan Dr. Boise ID 83712), Method for roughening a silicon or polysilicon surface for a semiconductor substrate.
  756. Combs James L. (Lexington KY) Crump Dwayne T. (Lexington KY) Pancoast Steven T. (Lexington KY), Method for saving and restoring the state of a CPU executing code in protected mode.
  757. White Ted R. (Austin TX) Klein Jeff L. (Austin TX), Method for selectively depositing tungsten on a substrate by using a spin-on metal oxide.
  758. Gealy, F. Daniel; DeBoer, Scott; Chapek, Dave; Al-Shareef, Husam N.; Thakur, Randhir, Method for stabilizing high pressure oxidation of a semiconductor device.
  759. Gruen Dieter M. ; Krauss Alan R., Method for the preparation of nanocrystalline diamond thin films.
  760. Lavernia Enrique J., Method for thermal spraying of nanocrystalline coatings and materials for the same.
  761. Wilk Glen D. ; Wei Yi ; Wallace Robert M., Method for thin film deposition on single-crystal semiconductor substrates.
  762. Van Wijck, Margreet Albertine Anne-Marie, Method for vapour deposition of a film onto a substrate.
  763. Ahn,Kie Y.; Forbes,Leonard, Method including forming gate dielectrics having multiple lanthanide oxide layers.
  764. Aitken John M. (Mahopac NY) Akbar Shahzad (Austin TX) Crowder Billy L. (Putnam Valley NY) Iqbal Asif (Danbury CT) Nihal Perwaiz (Hopewell Junction NY), Method of Fabricating a micro-coaxial wiring structure.
  765. Field Anthony J. (Cambridge GBX), Method of and apparatus for processing video signals.
  766. Cramer, Ronald Dean; Ponomarenko, Ekaterina Anatolyevna; St. Laurent, James Charles Theophile Roger Burckett, Method of applying nanoparticles.
  767. Sandhu Gurtej S. (Boise ID), Method of cleaning high density inductively coupled plasma chamber using capacitive coupling.
  768. Yin, Zhiping, Method of decontaminating process chambers, methods of reducing defects in anti-reflective coatings, and resulting semiconductor structures.
  769. Brian A. Vaartstra, Method of depositing films by using carboxylate complexes.
  770. Vaartstra Brian A., Method of depositing films by using carboxylate complexes.
  771. Vaartstra Brian A., Method of depositing films on semiconductor devices by using carboxylate complexes.
  772. Sandhu Gurtej S. (Boise ID) Doan Trung T. (Boise ID) Meikle Scott G. (Boise ID), Method of depositing high density titanium nitride films on semiconductor wafers.
  773. Huganen, Juha; Kanniainen, Tapio, Method of depositing thin films for magnetic heads.
  774. Elers, Kai-Erik; Haukka, Suvi P?ivikki; Saanila, Ville Antero; Kaipio, Sari Johanna; Soininen, Pekka Juha, Method of depositing transition metal nitride thin films.
  775. Janet S. Y. Wang ; Sameer S. Haddad, Method of drain avalanche programming of a non-volatile memory cell.
  776. Ko, Chang Hyun; You, Young Sub; Lee, Jai Dong; Hwang, Ki Hyun, Method of fabricating a capacitor of a semiconductor device.
  777. Hong Gary,TWX, Method of fabricating a flash memory.
  778. Hong Gary (Hsinchu TWX), Method of fabricating a flash memory cell.
  779. Richardson William F. (Richardson TX), Method of fabricating a high density EPROM cell on a trench wall.
  780. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating a highly reliable gate oxide.
  781. Leonard Forbes ; Kie Y. Ahn, Method of fabricating a semiconductor-on-insulator memory cell with buried word and body lines.
  782. Wu Shye-Lin (Nan-Tou TWX) Lee Chung-Len (Hsinchu TWX) Lei Tan-Fu (Hsinchu TWX), Method of fabricating a textured tunnel oxide for EEPROM applications.
  783. Rodder Mark S. (Dallas TX), Method of fabricating a vertical FET device with low gate to drain overlap capacitance.
  784. Brug, James A.; Tran, Lung T.; Anthony, Thomas C.; Bhattacharyya, Manoj K.; Nickel, Janice, Method of fabricating an MRAM device including spin dependent tunneling junction memory cells.
  785. Marsh, Eugene P., Method of fabricating an SrRuO3 film.
  786. Hsu Sheng T. (West Windsor Township ; Mercer County NJ), Method of fabricating an integrated circuit containing bipolar and MOS transistors.
  787. Ibok Effiong ; He Yue-Song, Method of fabricating an oxynitride-capped high dielectric constant interpolysilicon dielectric structure for a low voltage non-volatile memory.
  788. Krivokapic, Zoran, Method of fabricating an ultra-thin fully depleted SOI device with T-shaped gate.
  789. Dhong Sang H. (Mahopac NY) Hwang Wei (Armonk NY) Lu Nicky C. (Yorktown Heights NY), Method of fabricating cross-point lightly-doped drain-source trench transistor.
  790. Pein Howard B. (333 N. State Rd. Briarcliff Manor NY 10510), Method of fabricating non-volatile sidewall memory cell.
  791. Shin,Dong Suk, Method of fabricating transistor including buried insulating layer and transistor fabricated using the same.
  792. Michikami Osamu (Tohkai JPX) Katoh Yujiro (Mito JPX) Tanabe Keiichi (Mito JPX) Takenaka Hisataka (Mito JPX) Yoshii Shizuka (Mito JPX), Method of fabrication of Josephson tunnel junction.
  793. Yang Ming-Tzong (Hsin-Chu TWX) Hong Gary (Hsin-Chu TWX), Method of fabrication of MOSFET device with buried bit line.
  794. Hidehiko, Shiraiwa; Halliyal, Arvind; Park, Jaeyong, Method of formation of semiconductor resistant to hot carrier injection stress.
  795. Akram Salman (Boise ID) Turner Charles (Chandler AZ) Laulusa Alan (Boise ID), Method of forming a capacitor.
  796. Gealy, F. Daniel; Graettinger, Thomas M., Method of forming a capacitor.
  797. Mathews Viju K. (Boise) Yu Chang (Boise) Tuttle Mark E. (Boise) Doan Trung T. (Boise ID), Method of forming a capacitor in semiconductor wafer processing.
  798. Bui Nguyen Duc, Method of forming a composite interpoly gate dielectric.
  799. Jeng Nanseng (Boise ID) Harshfield Steven T. (Emmett ID) Schuele Paul J. (Boise ID), Method of forming a contact using a trench and an insulation layer during the formation of a semiconductor device.
  800. Ma Yanjun ; Ono Yoshi, Method of forming a doped metal oxide dielectric film.
  801. Thakur Randhir P. S. ; Rhodes Howard E., Method of forming a doped region in a semiconductor substrate.
  802. Thakur Randhir P. S. ; Rhodes Howard E., Method of forming a doped region in a semiconductor substrate.
  803. Wen-Ting Chu TW; Di-Son Kuo TW; Jack Yeh TW; Chia-Ta Hsieh TW; Chuan-Li Chang TW, Method of forming a floating gate self-aligned to STI on EEPROM.
  804. Tews Helmut Horst ; Lee Brian, Method of forming a hemispherical grained capacitor.
  805. Forbes Leonard ; Noble Wendell P., Method of forming a logic array for a decoder.
  806. Ma, Yanjun; Ono, Yoshi, Method of forming a multilayer dielectric stack.
  807. Batra,Shubneesh; Sandhu,Gurtej, Method of forming a non-volatile electron storage memory and the resulting device.
  808. Lee, Jang-Eun; Park, Sun-Hoo; Son, Jung-Hoon, Method of forming a quantum dot and a gate electrode using the same.
  809. Hirota Toshiyuki (Tokyo JPX), Method of forming a roughened surface capacitor with two etching steps.
  810. Lai,Joey; Lur,Water, Method of forming a semi-insulating region.
  811. Fitch Jon T. (Austin TX) Maniar Papu (Austin TX) Witek Keith E. (Austin TX) Gelatos Jerry (Austin TX) Moazzami Reza (Austin TX) Ajuria Sergio A. (Austin TX), Method of forming a semiconductor structure having an air region.
  812. Farrar Paul A., Method of forming a support structure for air bridge wiring of an integrated circuit.
  813. Forbes, Leonard; Ahn, Kie Y., Method of forming a weak ferroelectric transistor.
  814. Huotari,Hannu; Haukka,Suvi; Tuominen,Marko, Method of forming an electrode with adjusted work function.
  815. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method of forming an optical fiber interconnect through a semiconductor wafer.
  816. Ahn, Kie Y.; Forbes, Leonard, Method of forming apparatus having oxide films formed using atomic layer deposition.
  817. Sandhu, Gurtej S.; Doan, Trung Tri, Method of forming capacitor constructions.
  818. DeBoer Scott Jeffrey ; Gealy F. Daniel ; Thakur Randhir P. S., Method of forming capacitors containing tantalum.
  819. DeBoer, Scott Jeffrey; Gealy, F. Daniel; Thakur, Randhir P. S., Method of forming capacitors containing tantalum.
  820. Forbes, Leonard; Ahn, Kie Y., Method of forming coaxial integrated circuitry interconnect lines.
  821. Farrar Paul A., Method of forming foamed polymeric material for an integrated circuit.
  822. Wu Shye-Lin,TWX, Method of forming high density flash memories with MIM structure.
  823. Shah Rajiv R. (Sugar Land TX) Keller Stephen A. (Sugar Land TX), Method of forming high voltage bipolar transistor for a BICMOS integrated circuit.
  824. Buynoski Matthew S., Method of forming low dielectric semiconductor device with rigid, metal silicide lined interconnection system.
  825. Michael Chudzik ; Oleg Gluschenkov ; Raj Jammy ; Uwe Schroeder GB; Helmut Tews, Method of forming low-leakage on-chip capacitor.
  826. Juengling Werner ; Prall Kirk D. ; Iyer Ravi ; Sandhu Gurtej S. ; Blalock Guy, Method of forming materials between conductive electrical components, and insulating materials.
  827. Gardiner Robin A. ; Kirlin Peter S. ; Baum Thomas H. ; Gordon Douglas ; Glassman Timothy E. ; Pombrik Sofia ; Vaartstra Brian A., Method of forming metal films on a substrate by chemical vapor deposition.
  828. Rouanet Stephane Fabrice ; McGovern William Edward ; Cao Wanqing ; Moses John M. ; Carrillo Angel L. ; Klotz Irving M., Method of forming particles using a supercritical fluid.
  829. Shen Hua ; Hoepfner Joachim, Method of forming stack capacitor with improved plug conductivity.
  830. Birrittella Mark S. (Phoenix AZ) Liaw Hang M. (Scottsdale AZ) Reuss Robert H. (Scottsdale AZ), Method of forming transistors with poly-sidewall contacts utilizing deposition of polycrystalline and insulating layers.
  831. Pekka J. Soininen FI; Kai-Erik Elers FI; Suvi Haukka FI, Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH.
  832. Cramer, Ronald Dean; Rohrbaugh, Robert Henry; Carter, John David; Thuemmler, Karl Edward; Ponomarenko, Ekaterina Anatolyevna; Schmidt, Mattias, Method of hydrophilizing materials.
  833. Alain E. Kaloyeros ; Ana Londergan ; Barry Arkles, Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt.
  834. Lee Roger R. (Boise ID), Method of making a cell structure for a programmable read only memory device.
  835. Ahn, Kie Y.; Forbes, Leonard, Method of making a chip packaging device having an interposer.
  836. Prall Kirk (Boise ID) Pan Pai-Hung (Boise ID) Sharan Sujit (Boise ID), Method of making a field effect transistor having an elevated source and an elevated drain.
  837. Hsieh Chang-Ming (Fishkill NY) Hsu Louis L. C. (Fishkill NY) Ogura Seiki (Hopewell Junction NY), Method of making a high-density DRAM structure on SOI.
  838. Ramsbey, Mark T.; Ogle, Robert B.; Hsiao, Tommy C.; Hui, Angela T.; Pham, Tuan Duc; Plat, Marina V.; Shen, Lewis, Method of making a memory cell with polished insulator layer.
  839. Woosik Kim KR; Seungheon Song KR; Hokyu Kang KR, Method of making a scalable two transistor memory device.
  840. Sakamoto Mitsuru (Tokyo JPX), Method of making a semiconductor device comprising lower and upper silicon layers as capacitor electrodes.
  841. Gnade Bruce E. (Dallas TX) Cho Chih-Chen (Richardson TX) Smith Douglas M. (Albuquerque NM), Method of making a semiconductor device using a low dielectric constant material.
  842. Nakanishi Toshiro (Kawasaki JPX) Sato Yasuhisa (Kawasaki JPX), Method of making a semiconductor memory device having a floating gate.
  843. Shimizu Masahiro (Hyogo JPX) Tsukamoto Katsuhiro (Hyogo JPX), Method of making a sidewall contact.
  844. Ma Manny K. F., Method of making a structure for providing signal isolation and decoupling in an integrated circuit device.
  845. Kenjiro Higaki,JPX ; Saburo Tanaka,JPX ; Hideo Itozaki,JPX ; Shuji Yazu,JPX, Method of making a superconducting microwave component by off-axis sputtering.
  846. Raaijmakers, Ivo; Haukka, Suvi P.; Saanila, Ville A.; Soininen, Pekka J.; Elers, Kai-Erik; Granneman, Ernst H. A., Method of making conformal lining layers for damascene metallization.
  847. Kim Jong S. (Sungnam KRX) Yoon Hee-Koo (Seoul KRX) Choi Chung G. (Kyoungki-Do KRX), Method of making dynamic random access memory having a vertical transistor.
  848. Forbes Leonard ; Noble Wendell P. ; Ahn Kie Y., Method of making memory cell with vertical transistor and buried word and body lines.
  849. Zhou, Otto Z., Method of making nanotube-based material with enhanced electron field emission properties.
  850. Ikegami Masami,JPX, Method of making nonvolatile memory elements with selector transistors.
  851. Bergendahl Albert S. (Underhill VT) Bertin Claude L. (South Burlington VT) Cronin John E. (Milton VT) Kalter Howard L. (Colchester VT) Kenney Donald M. (Shelburne VT) Lam Chung H. (Williston VT) Lee , Method of making shadow RAM cell having a shallow trench EEPROM.
  852. Chang Robert Pang Heng ; Lauerhaas Jeffrey Michael ; Marks Tobin Jay ; Pernisz Udo C., Method of making silica nanoparticles.
  853. Fazan Pierre (Boise ID) Chan Hiang C. (Boise ID) Rhodes Howard E. (Boise ID) Dennison Charles H. (Boise ID) Liu Yauh-Ching (Boise ID), Method of making stacked E-cell capacitor DRAM cell.
  854. Fazan Pierre C. (Boise ID) Rhodes Howard E. (Boise ID) Dennison Charles H. (Boise ID) Liu Yauh-Ching (Boise ID), Method of making stacked surrounding reintrant wall capacitor.
  855. Hebert Francois (Sunnyvale CA) Chen Datong (Fremont CA) Bashir Rashid (Santa Clara CA), Method of making truly complementary and self-aligned bipolar and CMOS transistor structures with minimized base and gat.
  856. Chin Daeje (Seoul NY KRX) Dhong Sang H. (Mahopac NY), Method of making ultra dense dram cells.
  857. Strutt Peter R. ; Kear Bernard H. ; Boland Ross F., Method of manufacture of nanostructured feeds.
  858. Jung Lin Chrong,TWX ; Chen Shui-Hung,TWX ; Kuo Di-Son,TWX, Method of manufacture of vertical split gate flash memory device.
  859. Furuhata Tomoyuki (Nagano JPX), Method of manufacturing a Bi-MOS device with a polycrystalline resistor.
  860. Eguchi Kazuhiro (Yokohama JPX) Kiyotoshi Masahiro (Sagamihara JPX) Imai Keitaro (Kawasaki JPX), Method of manufacturing a perovskite thin film dielectric.
  861. Watabe Kiyoto (Hyogo JPX), Method of manufacturing a semiconductor device.
  862. Niwano Kazuhito (Itami JPX) Ikeda Tatsuhiko (Itami JPX), Method of manufacturing a semiconductor memory device.
  863. Ahn, Kie Y.; Forbes, Leonard, Method of manufacturing a single electron resistor memory device.
  864. Te Velde, Ties S., Method of manufacturing a wiring system.
  865. Hase Takashi (Ichikawa JPX) Kita Ryusuke (Urayasu JPX) Sasaki Masato (Mitaka JPX) Morishita Tadataka (Ninomiyamachi-yamanishi JPX), Method of manufacturing an oxide superconductor film.
  866. Kim Dong J. (Kyungsangbuk-do KRX) Song Jun E. (Seoul KRX), Method of manufacturing both low and high voltage BiCMOS transistors in the same semiconductor substrate.
  867. Min,Yo sep; Bae,Eun ju; Choi,Won bong; Cho,Young jin; Lee,Jung hyun, Method of manufacturing inorganic nanotube.
  868. Fujihira Mitsuaki (Yokohama JPX), Method of manufacturing semiconductor device.
  869. Ikeda Takahide (Tokorozawa JPX) Yamada Kouichirou (Mitaka JPX) Saito Osamu (Tokyo JPX) Odaka Masanori (Kodaira JPX) Tamba Nobuo (Ohme JPX) Ogiue Katsumi (Hinode JPX) Hiraishi Atsushi (Hitachi JPX) Wa, Method of manufacturing semiconductor integrated circuit device.
  870. Arima Hideaki (Hyogo JPX), Method of manufacturing semiconductor memory device.
  871. Ahmad Aftab (Boise ID) Thakur Randhir P. S. (Boise ID), Method of manufacturing small geometry MOS field-effect transistors having improved barrier layer to hot electron inject.
  872. Elers, Kai-Erik, Method of modifying source chemicals in an ald process.
  873. Kim Myung-Sung (Seoul KRX) Lim Soon-Kwon (Buchon KRX), Method of producing a bipolar CMOS device.
  874. Matsuo Naoto (Ibaraki JPX) Okada Shozo (Kobe JPX) Inoue Michihiro (Ikoma JPX), Method of producing a semiconductor device having trench capacitors and vertical switching transistors.
  875. Beyer Klaus D. (Poughkeepsie NY) Hsu Louis L. (Fishkill NY) Silvestri Victor J. (Hopewell Junction NY) Yapsir Andrie S. (Pleasane Valley NY), Method of producing a thin silicon-on-insulator layer.
  876. Sandhu Gurtej S. ; Doan Trung T., Method of producing rough polysilicon by the use of pulsed plasma chemical vapor deposition and products produced by sa.
  877. Yamagata, Kenji, Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device.
  878. Nunoshita,Masahiro; Yamashita,Ichiro; Yoshii,Shigeo, Method of production of nano particle dispersed composite material.
  879. Derhacobian Narbeh ; Wang Janet S. Y. ; Sobek Daniel ; Haddad Sameer S., Method of programming a non-volatile memory cell using a current limiter.
  880. Janet S. Y. Wang, Method of programming a non-volatile memory cell using a drain bias.
  881. Daniel Sobek ; Timothy J. Thurgate ; Janet Wang ; Narbeh Derhacobian, Method of programming a non-volatile memory cell using a substrate bias.
  882. Richard M. Fastow, Method of programming a non-volatile memory cell using a substrate bias.
  883. Timothy J. Thurgate ; Carl R. Huster, Method of programming a non-volatile memory cell using a vertical electric field.
  884. Josephson Gregg R. (Aloha OR), Method of programming electrically erasable programmable read-only memory using particular substrate bias.
  885. Sandhu, Gurtej S.; Doan, Trung T., Method of providing a silicon film having a roughened outer surface.
  886. Zhiping Yin, Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby.
  887. Ueno, Mayumi; Sunkara, Mahendra Kumar, Method of synthesizing metal doped diamond-like carbon films.
  888. Bell, Scott A.; Dakshina-Murthy, Srikanteswara; Fisher, Philip A.; Tabery, Cyrus E., Method of using amorphous carbon film as a sacrificial layer in replacement gate integration processes.
  889. Kaya Cetin (Dallas TX) Holland Wayland B. (Garland TX) Mezenner Rabah (Richardson TX), Method of using source bias to increase threshold voltages and/or to correct for over-erasure of flash eproms.
  890. Chen, Bomy A.; Harrington, Jay G.; Houlihan, Kevin M.; Hoyniak, Dennis; Lam, Chung Hon; Lee, Hyun Koo; Mih, Rebecca D.; Rankin, Jed H., Method to create EEPROM memory structures integrated with high performance logic and NVRAM, and operating conditions for the same.
  891. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method to deposit a stacked high-κ gate dielectric for CMOS applications.
  892. Huotari,Hannu, Method to fabricate dual metal CMOS devices.
  893. Li Jianxun,SGX ; Chooi Simon,SGX ; Zhou Mei-Sheng,SGX, Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion.
  894. Wu Shye-Lin,TWX, Method to manufacture nonvolatile memories with a trench-pillar cell structure for high capacitive coupling ratio.
  895. Ownby Gary W. (Knoxville TN) White Clark W. (Oak Ridge TN) Zehner David M. (Lenoir City TN), Method using laser irradiation for the production of atomically clean crystalline silicon and germanium surfaces.
  896. Glew Andrew F. (Hillsboro OR) Hinton Glenn J. (Portland OR) Papworth David B. (Beaverton OR) Fetterman Michael A. (Hillsboro OR) Colwell Robert P. (Portland OR) Pollack Frederick J. (Portland OR), Methods and apparatus for determining memory operating characteristics for given memory locations via assigned address r.
  897. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  898. Ellie Yieh ; Li-Qun Xia ; Srinivas Nemani, Methods and apparatus for shallow trench isolation.
  899. Aggarwal Sanjeev ; Perusse Scott Robert ; Ramesh Ramamoorthy, Methods and structures to cure the effects of hydrogen annealing on ferroelectric capacitors.
  900. Ahn, Kie Y.; Forbes, Leonard, Methods for atomic-layer deposition.
  901. Ahn,Kie Y.; Forbes,Leonard, Methods for atomic-layer deposition of aluminum oxides in integrated circuits.
  902. Agarwal, Vishnu K.; Derderian, Garo; Sandhu, Gurtej S.; Li, Weimin M.; Visokay, Mark; Basceri, Cem; Yang, Sam, Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers.
  903. Weling, Milind; Bothra, Subhas; Gabriel, Calvin Todd; Misheloff, Michael, Methods for forming co-axial interconnect lines in a CMOS process for high speed applications.
  904. Basceri, Cem; Sandhu, Gurtej, Methods for forming conductive structures and structures regarding same.
  905. Vaartstra Brian A., Methods for forming conformal iridium layers on substrates.
  906. Ahn, Kie Y.; Forbes, Leonard, Methods for forming dielectric materials and methods for forming semiconductor devices.
  907. Brian A. Vaartstra, Methods for forming iridium and platinum containing films on substrates.
  908. Alessandro Cesare Callegari ; Fuad Elias Doany ; Evgeni Petrovich Gousev ; Theodore Harold Zabel, Methods for forming metal oxide layers with enhanced purity.
  909. Marsh, Eugene P.; Kraus, Brenda D, Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps.
  910. Bothra, Subhas; Gabriel, Calvin Todd; Misheloff, Michael; Weling, Milind, Methods for implementing co-axial interconnect lines in a CMOS process for high speed RF and microwave applications.
  911. Haukka, Suvi P.; Tuominen, Marko, Methods for making a dielectric stack in an integrated circuit.
  912. Forbes Leonard, Methods for making silicon-on-insulator structures.
  913. Spielberger, Richard K.; Katti, Romney R., Methods for providing a magnetic shield for an integrated circuit having magnetoresistive memory cells.
  914. Brian A. Vaartstra, Methods for removing rhodium- and iridium-containing films.
  915. Visokay, Mark; Chambers, James Joseph; Colombo, Luigi; Rotondaro, Antonio Luis Pacheco, Methods for sputter deposition of high-k dielectric films.
  916. Ross H. Hill CA; Juan Pablo Bravo-Vasquez CA, Methods for the lithographic deposition of materials containing nanoparticles.
  917. Chambers, James Joseph, Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness.
  918. Srividya, Cancheepuram V.; Gealy, F. Daniel; Graettinger, Thomas M., Methods of depositing noble metals and methods of forming capacitor constructions.
  919. Joo,Kyong Hee; Park,Jin Ho; Yeo,In Seok; Lim,Seung Hyun, Methods of fabricating non-volatile memory devices including nanocrystals.
  920. Sandhu, Gurtej S.; Doan, Trung Tri, Methods of forming capacitor constructions.
  921. Basceri, Cem; Gealy, F. Daniel; Sandhu, Gurtej S, Methods of forming capacitor constructions, and methods of forming constructions comprising dielectric materials.
  922. Al-Shareef, Husam N.; DeBoer, Scott Jeffrey; Gealy, F. Daniel; Thakur, Randhir P. S., Methods of forming capacitors.
  923. Carey David H. (Austin TX), Methods of forming channels and vias in insulating layers.
  924. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Methods of forming coaxial integrated circuitry interconnect lines.
  925. Nam,Gab jin; Lee,Seung hwan; Kim,Ki chul; Lim,Jae soon; Kim,Sung tae; Kim,Young sun, Methods of forming electronic devices including dielectric layers with different densities of titanium.
  926. Basceri, Cem; Gealy, F. Daniel; Sandhu, Gurtej S., Methods of forming hafnium-containing materials, methods of forming hafnium oxide, and capacitor constructions comprising hafnium oxide.
  927. Branagan, Daniel J., Methods of forming hardened surfaces.
  928. Drewes, Joel A., Methods of forming magnetoresisitive devices.
  929. Eldridge, Jerome M., Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure.
  930. Forbes, Leonard; Ahn, Kie Y.; Tran, Luan C., Methods of forming semiconductor structures.
  931. Ahn, Kie Y.; Forbes, Leonard, Methods of forming titanium silicon oxide.
  932. Eldridge Jerome Michael, Methods of forming void regions dielectric regions and capacitor constructions.
  933. Ahn, Kie Y.; Forbes, Leonard, Methods of forming zirconium aluminum oxide.
  934. Chae, Soo-doo; Kim, Chung-woo; Lee, Choong-man; Lee, Yung-hee; Park, Chan-jin; Hwang, Sung-wook; Han, Jeong-hee; Lee, Do-haing; Lee, Jin-seok, Methods of manufacturing non-volatile memory devices having insulating layers treated using neutral beam irradiation.
  935. Sandhu, Gurtej S.; Doan, Trung Tri, Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions.
  936. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  937. Ahn, Kie Y., Methods, systems, and apparatus for uniform chemical-vapor depositions.
  938. Elliott ; Jr. Jarrell R. (Northfield Center OH) Srinivasan Gokul (Akron OH) Dhanuka Manish (Akron OH) Akhaury Ranjan (Akron OH), Microcellular foams.
  939. Cha Sung W. (Cambridge MA) Suh Nam P. (Sudbury MA) Baldwin Daniel F. (Medford MA) Park Chul B. (Cambridge MA), Microcellular thermoplastic foamed with supercritical fluid.
  940. Price, David T.; Kalpathy-Cramer, Jayashree, Microchannel formation for fuses, interconnects, capacitors, and inductors.
  941. Jan Chia-Hong ; Corcoran Sean F., Microcrystalline silicon structure and fabrication process.
  942. Eldridge, Jerome M.; Farrar, Paul A., Microelectronic device package filled with liquid or pressurized gas and associated method of manufacture.
  943. Eldridge, Jerome M.; Farrar, Paul A., Microelectronic device package with conductive elements and associated method of manufacture.
  944. Eldridge, Jerome M.; Farrar, Paul A., Microelectronic device with package with conductive elements and associated method of manufacture.
  945. Ohsato, Hitoshi; Harada, Akio; Okawa, Takashi; Okabe, Hiroki, Microwave dielectric composition and method for producing the same.
  946. Tanino Noriyuki (Itami JPX), Microwave integrated circuit having a passive circuit substrate mounted on a semiconductor circuit substrate.
  947. Brian A. Vaartstra ; Donald L. Westmoreland, Mixed metal nitride and boride barrier layers.
  948. Ahn, Kie Y.; Forbes, Leonard, Molybdenum-doped indium oxide structures and methods.
  949. Lee, Thomas H.; Subramanian, Vivek; Cleeves, James M.; Walker, Andrew J.; Petti, Christopher J.; Kouznetzov, Igor G.; Johnson, Mark G.; Farmwald, Paul Michael; Herner, Brad, Monolithic three dimensional array of charge storage devices containing a planarized surface.
  950. Farrar, Paul A.; Eldridge, Jerome M., Multi-chip electronic package and cooling system.
  951. Wenzel James F. ; DeHaven Robert K. ; Marietta Bryan D. ; Johnston James P., Multi-chip semiconductor device and method for making the device by using multiple flip chip interfaces.
  952. Bhansali Ameet ; Zhu Qing, Multi-layer C4 flip-chip substrate.
  953. Kusunoki Shigeru (Hyogo JPX), Multi-layer type semiconductor device with semiconductor element layers stacked in opposite direction and manufacturing.
  954. Kurtz Anthony D. (Teaneck NJ) Ned Alexander A. (Bloomingdale NJ), Multi-level semiconductor structures having environmentally isolated elements.
  955. Hush Glen E. (Boise ID), Multi-port memory device.
  956. Hush Glen E. (Boise ID) Casper Stephen L. (Boise ID), Multi-port memory device with multiple sets of columns.
  957. Daly, Terence Gerard, Multi-reel slot machine with selectable reel play.
  958. Harari Eliyahou (104 Auzerais Ct. Los Gatos CA 95030), Multi-state flash EEPROM system using incremental programing and erasing methods.
  959. Forbes Leonard, Multi-state flash memory cell and method for programming single electron differences.
  960. Forbes Leonard, Multi-state flash memory cell and method for programming single electron differences.
  961. Guterman Daniel C. ; Fong Yupin Kawing, Multi-state memory.
  962. Cleeves, James M.; Subramanian, Vivek, Multigate semiconductor device with vertical channel current and method of fabrication.
  963. Cleeves, James M.; Subramanian, Vivek, Multigate semiconductor device with vertical channel current and method of fabrication.
  964. Lee, Kang N., Multilayer article characterized by low coefficient of thermal expansion outer layer.
  965. Tanahashi Shigeo,JPX, Multilayer circuit board.
  966. Yanjun Ma ; Yoshi Ono, Multilayer dielectric stack and method.
  967. Agarwal, Vishnu K.; Derderian, Garo J.; Gealy, F. Daniel, Multilayer electrode for a ferroelectric capacitor.
  968. Agarwal, Vishnu K.; Derderian, Garo J.; Gealy, F. Daniel, Multilayer electrode for a ferroelectric capacitor.
  969. Agarwal Vishnu K. ; Derderian Garo J. ; Gealy F. Daniel, Multilayer electrode for ferroelectric and high dielectric constant capacitors.
  970. Senzaki, Yoshihide, Multilayer high κ dielectric films.
  971. Fujita Suguru (Tokyo JPX) Takahashi Kazuaki (Kawasaki JPX) Sagawa Morikazu (Tama JPX) Sakai Hiroyuki (Katano JPX) Ota Yorito (Kobe JPX) Inoue Kaoru (Kadoma JPX), Multilayer microstrip wiring board with a semiconductor device mounted thereon via bumps.
  972. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film.
  973. Kitamura Naoya (Yokohama JPX) Sugiyama Hisashi (Yokosuka JPX) Yamaguchi Yoshihide (Fujisawa JPX) Kyoui Masayuki (Yokohama JPX) Murooka Hideyasu (Yokohama JPX) Iwamura Ryoji (Yokohama JPX) Watanabe Ma, Multilayer wiring board fabricating method.
  974. Ahn, Kie Y.; Forbes, Leonard; Eldridge, Jerome M., Multilevel copper interconnect with double passivation.
  975. Havemann Robert H. (Plano TX) Jeng Shin-puu (Plano TX), Multilevel interconnect structure with air gaps formed between metal leads.
  976. Forbes, Leonard, Multilevel semiconductor-on-insulator structures and circuits.
  977. Farrar, Paul A.; Eldridge, Jerome M., Multiple chip stack structure and cooling system.
  978. McLaury Loren L. (Boise ID), Multiple register block write method and circuit for video DRAMs.
  979. P. J. Ireland ; Howard Rhodes ; Sujit Sharan ; Sukesh Sandhu ; Tim O'Brien ; Tim Johnson, Multiple species sputtering method.
  980. McLaury Loren L. (Boise ID), Multiport memory with pipelined serial input.
  981. Forbes,Leonard, NOR flash memory cell with high storage density.
  982. Itoh Hideki,JPX, Nand-type semiconductor memory device.
  983. Duan, Xiangfeng; Chow, Calvin Y. H.; Heald, David L.; Niu, Chunming; Parce, J. Wallace; Stumbo, David P., Nano-enabled memory devices and anisotropic charge carrying arrays.
  984. Chen Wei ; Smith ; III Theoren Perlee ; Tiwari Sandip, Nano-structure memory device.
  985. Chen Wei ; Smith ; III Theoren Perlee ; Tiwari Sandip, Nano-structure memory device.
  986. Choi, Wee Kiong; Chim, Wai Kin; Ng, Vivian; Chan, Lap, Nanocrystal flash memory device and manufacturing method therefor.
  987. Forbes, Leonard, Nanocrystal write once read only memory for archival storage.
  988. Forbes,Leonard, Nanocrystal write once read only memory for archival storage.
  989. Slaughter, Jon M.; Dave, Renu W.; Sun, Jijun, Nanocrystalline layers for improved MRAM tunnel junctions.
  990. Coffa, Salvatore; Patti, Davide, Nanocrystalline silicon quantum dots within an oxide layer.
  991. Ahn, Kie Y.; Forbes, Leonard, Nanolaminates of hafnium oxide and zirconium oxide.
  992. Wakabayashi, Yoshiaki; Tohya, Hirokazu; Yamaguchi, Kouichi; Higuchi, Akiji; Yamada, Kenji, Nanoparticle transmission line element and method of fabricating the same.
  993. Gan-Moog Chow SG; Lynn K. Kurihara ; T. Danny Xiao ; Peter R. Strutt ; Christopher W. Strock ; Raymond A. Zatorski, Nanosize particle coatings made by thermally spraying solution precursor feedstocks.
  994. Arvind Halliyal ; Robert Bertram Ogle, Jr. ; Joong S. Jeon ; Fred Cheung ; Effiong Ibok, Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material.
  995. Jin, Been-Yih; Arghavani, Reza; Chau, Robert, Non-silicon semiconductor and high-k gate dielectric metal oxide semiconductor field effect transistors.
  996. Eitan, Boaz, Non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping.
  997. Wong Sau C., Non-volatile memories with improved endurance and extended lifetime.
  998. Yamauchi Yoshimitsu,JPX, Non-volatile memory and method for operating the same.
  999. Mobley Kenneth J. (Colorado Springs CO), Non-volatile memory cell and sensing method.
  1000. Lung, Hsiang-Lan; Hsieh, Kuang-Yeu; Liu, Ruichen; Wu, Tai-Bor; Tseng, Jiun-Yi, Non-volatile memory cell having metal nano-particles for trapping charges and fabrication thereof.
  1001. Kwang Chuk Joo KR; Kee Jeung Lee KR, Non-volatile memory device and manufacturing method thereof.
  1002. Chindalore, Gowrishankar L.; Ingersoll, Paul A.; Swift, Craig T.; Hoefler, Alexander B., Non-volatile memory device and method for forming.
  1003. Choi Jeong-Hyuk,KRX, Non-volatile memory device and method for operating and fabricating the same.
  1004. Hoefler, Alexander B.; Chindalore, Gowrishankar L.; Ingersoll, Paul A.; Swift, Craig T., Non-volatile memory device having an anti-punch through (APT) region.
  1005. DeKeersmaecker Roger F. (Cronton-on-Hudson NY) DiMaria Donelli J. (Mt. Kisco NY) Young Donald R. (Ossining NY), Non-volatile memory devices fabricated from graded or stepped energy band gap insulator MIM or MIS structure.
  1006. Morie Takashi (Kanagawa JPX), Non-volatile memory with hot carriers transmitted to floating gate through control gate.
  1007. Eitan Boaz,ILX, Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping.
  1008. Torii, Satoshi; Kojima, Hideyuki; Mawatari, Hiroshi, Non-volatile semiconductor memory device having a charge storing insulation film and data holding method therefor.
  1009. Kobayashi Shinichi (Hyogo JPX) Nakayama Takeshi (Hyogo JPX) Miyawaki Yoshikazu (Hyogo JPX) Futatsuya Tomoshi (Hyogo JPX) Terada Yasushi (Hyogo JPX), Non-volatile semiconductor memory device incorporating data latch and address counter for page mode programming.
  1010. Kohda Kenji (Hyogo JPX) Toyama Tsuyoshi (Hyogo JPX) Ando Nobuaki (Hyogo JPX) Noguchi Kenji (Hyogo JPX) Kobayashi Shinichi (Hyogo JPX), Non-volatile semiconductor memory device with facility of storing tri-level data.
  1011. Makino Takami (Kawasaki JPX), Non-volatile semiconductor memory device with function of bringing memory cell transistors to overerased state, and meth.
  1012. Saitoh Kenji,JPX, Non-volatile semiconductor storage apparatus and production thereof.
  1013. Pein Howard B. (Briarcliff Manor NY), Non-volatile sidewall memory cell method of fabricating same.
  1014. Ratnakumar K. Nirmal ; Jenne Frederick B., Non-volatile static random access memory and methods for using same.
  1015. Nishimura Kiyoshi (Kyoto JPX) Hayashi Hideki (Kyoto JPX) Muramoto Jun (Kyoto JPX) Fuchikami Takaaki (Kyoto JPX) Uenoyama Hiromi (Kyoto JPX), Nonvolatile ferroelectric-semiconductor memory.
  1016. Uchida Hidetsugu,JPX, Nonvolatile memory.
  1017. Iguchi Katsuji (Yamatokoriyama JPX), Nonvolatile memory cell and method of producing the same.
  1018. Chang Ko-Min (Austin TX) Morton Bruce L. (Austin TX) Choe Henry Y. (Austin TX) Kuo Clinton C. K. (Austin TX), Nonvolatile memory process.
  1019. Hayashi Yutaka (Kanagawa JPX) Yamagishi Machio (Kanagawa JPX), Nonvolatile semiconductor memory.
  1020. Shirai Hiroki,JPX ; Kubota Taishi,JPX ; Honma Ichiro,JPX ; Watanabe Hirohito,JPX ; Ono Haruhiko,JPX ; Okazawa Takeshi,JPX, Nonvolatile semiconductor memory device and manufacturing method of the same.
  1021. Kawata Masato,JPX, Nonvolatile semiconductor memory device and method of manufacturing the same.
  1022. Kokubo Masaya (Kasugai JPX), Nonvolatile semiconductor memory device for preventing erroneous operation caused by over-erase phenomenon.
  1023. Atsumi Shigeru (Tokyo JPX) Tanaka Sumio (Tokyo JPX), Nonvolatile semiconductor memory device having a word line to which a negative voltage is applied.
  1024. Collins David A. (San Diego CA) Lile Derek L. (San Diego CA), Normally off InP field effect transistor making process.
  1025. Kehr Clifton L. (Silver Spring MD) Marans Nelson S. (Silver Spring MD), Novel hydrophobic polyurethane foams.
  1026. Park, Jaeyong; Shiraiwa, Hidehiko; Halliyal, Arvind; Yang, Jean Y.; Kang, Inkuk; Kamal, Tazrien; Jafarpour, Amir H., ONO fabrication process for reducing oxygen vacancy content in bottom oxide layer in flash memory devices.
  1027. Arami Junichi,JPX ; Ishikawa Kenji,JPX ; Kitamura Masayuki,JPX, One-by-one type heat-processing apparatus.
  1028. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  1029. Forbes, Leonard; Ahn, Kie Y., Open bit line DRAM with ultra thin body transistors.
  1030. Lawandy Nabil R. (Providence RI), Optical gain medium having doped nanocrystals of semiconductors and also optical scatterers.
  1031. Brock Lieselotte (Aachen DEX) Frank Gunter (Aachen DEX) Vitt Bruno (Aachen DEX), Optical interference filter.
  1032. Takeoka Yoshikatsu (Kawasaki JPX) Yasuda Nobuaki (Zushi JPX), Optical protuberant bubble recording medium.
  1033. Iida, Tetsuya; Yoshikawa, Takamasa; Koike, Katsuhiro, Optical recording medium.
  1034. Tokailin, Hiroshi; Nagasaki, Yoshikazu; Shibuya, Tadao, Organic electroluminescence element and production method thereof.
  1035. Yu Jaiwhan (Suwon KRX), Output buffer precharge circuit for DRAM.
  1036. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Oxide thin film, electronic device substrate and electronic device.
  1037. Yang, Sam; Zheng, Lingyi A., Oxygen barrier for cell container process.
  1038. Hang Chia-Lun (San Jose CA), Page-in, burst-out FIFO.
  1039. Suen, Chi Ming, Paraffin wax warmer bath.
  1040. Honma Yasuaki (Kawasaki JPX), Partial write transferable multiport memory.
  1041. Hseih Ning (1573 Larkin Ave. San Jose CA 95129), Partially relaxable composite dielectric structure.
  1042. Poelstra, Klaas; Beljaars, Eleonora; Meijer, Dirk Klaas Fokke; Schuppan, Detlef Bruno Igor, Peptide-based carrier devices for stellate cells.
  1043. Danielson Earl ; Devenney Martin ; Giaquinta Daniel M., Phosphor Materials.
  1044. Hung-Chang Hsieh TW, Photoresist development method employing multiple photoresist developer rinse.
  1045. Zhou Lin ; Zhang Xue-Shan, Physiotherapy fiber, shoes, fabric, and clothes utilizing electromagnetic energy.
  1046. McLaury Loren L. (Boise ID), Pipelined SAM register serial output.
  1047. Jones Addison B. (Yorba Linda CA), Planar circuit fabrication by plating and liftoff.
  1048. Lee Jar J. (Irvine CA) Strahan James V. (Brea CA), Planar ferrite phase shifter.
  1049. Jeng Shin-Puu (2508 Evergreen Dr. Plano TX 75075), Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators.
  1050. Helmut Horst Tews ; Brian S. Lee ; Joachim Hoepfner DE, Plasma doping for DRAM with deep trenches and hemispherical grains.
  1051. Lee,Chun Soo; Oh,Min Sub; Park,Hyung Sang, Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof.
  1052. Tompa Gary Steven, Plasma enhanced chemical vapor deposition system.
  1053. Cain John L. (Schertz TX) Relue Michael P. (San Antonio TX) Costabile Michael E. (San Antonio TX) Marsh William P. (San Antonio TX), Plasma processing apparatus.
  1054. Donohoe Kevin G. ; Blalock Guy T., Plasma processing tools, dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers.
  1055. Donohoe Kevin G. ; Blalock Guy T., Plasma producing tools, dual-source plasma etchers, dual-source plasma etching methods, and method of forming planar coil dual-source plasma etchers.
  1056. Gorin Georges J. (Emeryville CA) Hoog Josef T. (Novato CA), Plasma reactor apparatus.
  1057. Drage David J. (Sebastopol CA), Plasma reactor having slotted manifold.
  1058. Chen, Jack Chieh-Cheng; Chen, Hancun; Prasad, Ravi; Whichard, Glenn, Plasma sprayed oxygen transport membrane coatings.
  1059. Kieser Jrg (Albstadt DEX) Sellschopp Michael (Hammersbach DEX) Geisler Michael (Wchtersbach DEX), Plasma treatment apparatus.
  1060. Tei, Goushu; Tanaka, Nobuyoshi; Ohmi, Tadahiro; Hirayama, Masaki, Plasma treatment method and method of manufacturing optical parts using the same.
  1061. Nakahigashi Takahiro (Kyoto JPX) Murakami Hiroshi (Kyoto JPX) Otani Satoshi (Osaka JPX) Tabata Takao (Kyoto JPX) Maeda Hiroshi (Kyoto JPX) Kirimura Hiroya (Kyoto JPX) Kuwahara Hajime (Kyoto JPX), Plasma-CVD method and apparatus.
  1062. Baum Thomas H. ; Kirlin Peter S. ; Pombrik Sofia, Platinum source compositions for chemical vapor deposition of platinum.
  1063. Ahn Kie Y. ; Forbes Leonard, Porous silicon oxycarbide integrated circuit insulator.
  1064. Ahn, Kie Y.; Forbes, Leonard, Porous silicon oxycarbide integrated circuit insulator.
  1065. Kie Y. Ahn ; Leonard Forbes, Porous silicon oxycarbide integrated circuit insulator.
  1066. Geiss Peter J. (Underhill VT) Kenney Donald M. (Shelburne VT), Porous silicon trench and capacitor structures.
  1067. Hori Saburo (Matsudo JPX), Powdery material of minute composite ceramic particles having a dual structure and a process and an apparatus producing.
  1068. Kawaguchi Yasutsugu (Tochigi JPX) Ishii Makoto (Utsunomiya JPX), Power supply controlled to supply load current formed as sine wave.
  1069. Bruley, John; Cabral, Jr., Cyril; Lavoie, Christian; Wagner, Tina J.; Wang, Yun Yu; Wildman, Horati S.; Hon, Wong Kwong, Pre-anneal of CoSi, to prevent formation of amorphous layer between Ti-O-N and CoSi.
  1070. Cheung, Fred TK; Halliyal, Arvind, Precision high-K intergate dielectric layer.
  1071. Vaartstra Brian A., Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide.
  1072. Vaartstra Brian A., Precursor mixtures for use in preparing layers on substrates.
  1073. Buchanan,Douglas A.; Neumayer,Deborah Ann, Precursor source mixtures.
  1074. Krishnamohan Karnamadakala (San Jose CA) Farmwald Paul M. (Portola Valley CA) Ware Frederick A. (Los Altos CA), Prefetching into a cache to minimize main memory access time and cache size in a computer system.
  1075. Mukhopadhyay,Sudip, Preparation and application of novel chromium based nanocatalyst for gas-phase fluorination and hydrofluorination reactions.
  1076. Gonczy Stephen T. (Mount Prospect IL) Lawson Randy J. (Arlington Heights IL) Rosen Bruce I. (Skokie IL), Preparation of ceramics.
  1077. Jeon, Joong, Preparation of composite high-K / standard-K dielectrics for semiconductor devices.
  1078. Jeon, Joong, Preparation of composite high-K dielectrics.
  1079. Halliyal, Arvind; Jeon, Joong S.; Ngo, Minh Van; Ogle, Robert B., Preparation of composite high-K/standard-K dielectrics for semiconductor devices.
  1080. Marans Nelson S. (Silver Spring MD) Kehr Clifton L. (Silver Spring MD), Preparation of solid polyurethane particles.
  1081. Jeon, Joong, Preparation of stack high-K gate dielectrics with nitrided layer.
  1082. Woodfield, Brian F.; Liu, Shengfeng; Boerio-Goates, Juliana; Liu, Qingyuan; Smith, Stacey Janel, Preparation of uniform nanoparticles of ultra-high purity metal oxides, mixed metal oxides, metals, and metal alloys.
  1083. Peter Gunter (Plons CHX), Process and apparatus for the production of a metal oxide layer.
  1084. Simons Guido,DEX ; Strecker ; deceased Helmut,DEX ITX by Renate Strecker ; executor ; Molz Peter,DEX ; Schnorr Gerd,DEX ; Skrzipczyk Heinz Jurgen,DEX ; Wissmann Hans,DEX, Process and test kit for determining free active compounds in biological fluids.
  1085. Song, Kevin; Ravi, Jallepally; Li, Shih-Hung; Chen, Liang-Yuh, Process conditions and precursors for atomic layer deposition (ALD) of AL2O3.
  1086. Holler Mark A. (Palo Alto CA) Tam Simon M. (San Mateo CA), Process for fabricating electrically alterable floating gate memory devices.
  1087. Cronin John E. (Milton VT) Lee Pei-ing P. (Williston VT), Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit.
  1088. Watanabe Joy Kimi ; Stankus John Joseph, Process for forming a semiconductor device.
  1089. Orlowski Marius K. ; Chang Ko-Min, Process for forming an electrically programmable read-only memory cell.
  1090. Sharma Umesh (Austin TX) Kawasaki Hisao (Austin TX), Process for forming an electrically programmable read-only memory cell.
  1091. Smith, Ryan C.; Ma, Tiezhong; Campbell, Stephen A.; Gladfelter, Wayne L., Process for forming hafnium oxide films.
  1092. Bergemont Albert, Process for forming high quality gate silicon dioxide layers of multiple thicknesses.
  1093. Matthews James A. (878 Alcosta Dr. Milpitas CA 95035), Process for forming planarized, air-bridge interconnects on a semiconductor substrate.
  1094. Hong Gary (Hsin-Chu TWX), Process for high density flash EPROM cell.
  1095. Hong Gary (Hsin-Chu TWX), Process for high density split-gate memory cell for flash or EPROM.
  1096. Wang, Ming-Fang; Chen, Chien-Hao; Yao, Liang-Gi; Chen, Shih-Chang, Process for integration of a high dielectric constant gate insulator layer in a CMOS device.
  1097. Marsh, Eugene P.; Uhlenbrock, Stefan, Process for low temperature atomic layer deposition of Rh.
  1098. Douglas R. Roberts ; Eric Luckowski, Process for making a MIM capacitor.
  1099. Ebbinghaus Bartley B. ; Van Konynenburg Richard A. ; Vance Eric R.,AUX ; Stewart Martin W.,AUX ; Walls Philip A.,AUX ; Brummond William Allen ; Armantrout Guy A. ; Herman Connie Cicero ; Hobson Bever, Process for making a ceramic composition for immobilization of actinides.
  1100. Hedrick Jeffrey Curtis ; Hedrick James Lupton ; Hilborn Jons Gunnar,CHX ; Liao Yun-Hsin ; Miller Robert Dennis ; Shih Da-Yuan, Process for making a foamed elastomeric polymer.
  1101. Zaidel Simon A. (Manlius NY) Alcorn Terrence S. (Liverpool NY) Kopp William F. (Liverpool NY) Pifer George C. (North Syracuse NY), Process for making air bridges for integrated circuits.
  1102. Gruening Ulrike ; Radens Carl J. ; Tobben Dirk,DEX, Process for manufacture of trench DRAM capacitor buried plates.
  1103. Senzaki, Yoshihide; Hochberg, Arthur Kenneth; Norman, John Anthony Thomas, Process for metal metalloid oxides and nitrides with compositional gradients.
  1104. Yoshihiko Yano JP; Takao Noguchi JP, Process for preparing ferroelectric thin films.
  1105. Sarkas, Harry W.; Piepenbrink, Jonathan, Process for preparing nanostructured materials of controlled surface chemistry.
  1106. Doan Trung T. (Boise ID) Lowrey Tyler A. (Boise ID), Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit cap.
  1107. Bowman Jeffery B. (Flagstaff AZ) Hubis Daniel E. (Elkton MD) Lewis James D. (Flagstaff AZ) Newman Stephen C. (Flagstaff AZ) Staley Richard A. (Flagstaff AZ), Process for producing a high strength porous polytetrafluoroethylene product having a coarse microstructure.
  1108. Gore ; Robert W., Process for producing filled porous PTFE products.
  1109. Putkonen, Matti, Process for producing oxide thin films.
  1110. Ikai Keizo (Hayama JPX) Minami Masaki (Yokohama JPX) Matsuno Mitsuo (Yokohama JPX), Process for producing polysilanes.
  1111. Gore Robert W. (Newark DE), Process for producing porous products.
  1112. Pfiester James R. (Austin TX), Process for providing isolation between CMOS devices.
  1113. Shiraiwa, Hidehiko; Park, Jaeyong; Cheung, Fred T K; Halliyal, Arvind, Process for reducing hydrogen contamination in dielectric materials in memory devices.
  1114. Wilk, Glen David; Ye, Peide, Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate.
  1115. White Lawrence H. (Vestal NY), Process for surface mounting flip chip carrier modules.
  1116. Planche Jean-Pascal,FRX ; Maldonado Paul,FRX ; Neff Bernard,FRX ; Senninger Thierry,FRX ; Zins Annie,FRX ; Drouilhet Stephane,FRX, Process for the preparation of functionalized elastomer/bitumen compositions and their use in coatings.
  1117. Becker Michael F. (2734 Trail of Madrones Austin TX 78746) Brock James R. (1801 Lavaca ; Apt. 6E Austin TX 78701-1305) Keto John W. (1808 Basin Ledge Austin TX 78746), Process for the production of nanoparticles.
  1118. Schaber Hans-Christian (Graefelfing DEX) Wieder Armin (Graefelfing DEX) Bieger Johannes (Munich DEX), Process for the simultaneous production of self-aligned bipolar transistors and complementary MOS transistors on a commo.
  1119. Suzuki Hisamitsu (Tokyo JPX), Process of fabricating Bi-CMOS integrated circuit device.
  1120. Kamikawa Yuuji (Uto JPX) Matsumura Kimiharu (Kumamoto JPX) Nomura Masafumi (Kumamoto JPX) Nagata Junichi (Kumamoto JPX), Processing apparatus with a gas distributor having back and forth parallel movement relative to a workpiece support surf.
  1121. Doering, Kenneth; Galewski, Carl J., Processing chamber for atomic layer deposition processes.
  1122. Wojnarowski Robert J. (Ballston Lake NY) Cole Herbert S. (Burnt Hills NY) Sitnik-Nieters Theresa A. (Scotia NY) Daum Wolfgang (Schenectady NY), Processing low dielectric constant materials for high speed electronics.
  1123. Wojnarowski Robert J. (Ballston Lake NY) Cole Herbert S. (Burnt Hills NY) Sitnik-Nieters Theresa A. (Scotia NY) Daum Wolfgang (Schenectady NY), Processing low dielectric constant materials for high speed electronics.
  1124. Wojnarowski Robert John ; Cole Herbert Stanley ; Sitnik-Nieters Theresa Ann ; Daum Wolfgang, Processing low dielectric constant materials for high speed electronics.
  1125. Schrems Martin,DEX ; Arnold Norbert, Production method for a trench capacitor with an insulation collar.
  1126. Rao Nagaraja P. ; Girshick Steven L. ; McMurry Peter H. ; Heberlein Joachim V. R., Production of nanostructured materials by hypersonic plasma particle deposition.
  1127. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Programmable array logic or memory devices with asymmetrical tunnel barriers.
  1128. Forbes,Leonard; Eldridge,Jerome M.; Ahn,Kie Y., Programmable array logic or memory devices with asymmetrical tunnel barriers.
  1129. Schaefer Scott (Boise ID), Programmable dynamic random access memory (DRAM).
  1130. Turner John E. (Beaverton OR) Josephson Gregg R. (Lake Oswego OR), Programmable logic array.
  1131. Noble Wendell P. ; Forbes Leonard, Programmable logic array with vertical transistors.
  1132. Noble, Wendell P.; Forbes, Leonard, Programmable logic array with vertical transistors.
  1133. Wendell P. Noble ; Leonard Forbes, Programmable logic array with vertical transistors.
  1134. Leonard Forbes ; Kie Y. Ahn, Programmable logic arrays with ultra thin body transistors.
  1135. Turner John E. (Beaverton OR) Rutledge David L. (Beaverton OR), Programmable logic device.
  1136. Forbes,Leonard, Programmable memory address and decode circuits with low tunnel barrier interpoly insulators.
  1137. Forbes,Leonard, Programmable memory address and decode circuits with low tunnel barrier interpoly insulators.
  1138. Forbes, Leonard, Programmable memory address and decode circuits with ultra thin vertical body transistors.
  1139. Forbes, Leonard, Programmable memory address and decode circuits with vertical body transistors.
  1140. Forbes Leonard ; Noble Wendell P., Programmable memory address decode array with vertical transistors.
  1141. Forbes, Leonard; Noble, Wendell P., Programmable memory address decode array with vertical transistors.
  1142. Forbes Leonard ; Ahn Kie Y., Programmable memory decode circuits with transistors with vertical gates.
  1143. Smith Teresa B. (Columbia MD) Smith Philip C. (Columbia MD), Programmable redundancy circuit.
  1144. Smith, Teresa B.; Smith, Philip C., Programmable redundancy circuit.
  1145. Huang, Jen-Ren; Chou, Ming-Hung; Chiou, Jen-Ren, Programming a flash memory cell.
  1146. Lee Roger R. (Boise ID) Gonzalez Fernando (Boise ID), Programming method for the selective healing of over-erased cells on a flash erasable programmable read-only memory devi.
  1147. Wang, Zhigang; Yang, Nian; Guo, Xin, Programming with floating source for low power, low leakage and high density flash memory devices.
  1148. Yang Barry Lee-Mean ; Gasworth Steven Marc, Protective coating by high rate arc plasma deposition.
  1149. Cogan Stuart F. (Sudbury MA), Protective overlayer material and electro-optical coating using same.
  1150. Hu Chenming (Alamo CA) Hsu Fu-Chieh (Saratogo CA), Pseudo-nonvolatile memory incorporating data refresh operation.
  1151. Yuki Koichiro,JPX ; Hirai Yoshihiko,JPX ; Morimoto Kiyoshi,JPX ; Niwa Masaaki,JPX ; Yasui Juro,JPX ; Okada Kenji,JPX ; Udagawa Masaharu,JPX ; Morita Kiyoyuki,JPX, Quantization functional device utilizing a resonance tunneling effect and method for producing the same.
  1152. Devchoudhury Rathindra N. (Ithaca NY), ROM security circuit.
  1153. Ofer Sneh, Radical-assisted sequential CVD.
  1154. Sneh Ofer, Radical-assisted sequential CVD.
  1155. Delfino, Michelangelo, Radioactive medical implant and method of manufacturing.
  1156. Fujishiro Felix (San Antonio TX) Lee Chang-Ou (San Antonio TX) Vines Landon (Boise ID), Rapid thermal oxidation of silicon in an ozone ambient.
  1157. Thompson Richard D. (Millwood NY) Tsaur Boryeu (Arlington MA) Tu King-Ning (Chappaqua NY), Rare earth silicide Schottky barriers.
  1158. Beyer Klaus D. (Poughkeepsie NY) Yapsir Andrie S. (Pleasant Valley NY), Reach-through isolation silicon-on-insulator device.
  1159. Fukunaga Yukio,JPX ; Shinozaki Hiroyuki,JPX ; Tsukamoto Kiwamu,JPX ; Saitoh Masao,JPX, Reactant gas ejector head.
  1160. Chu Chin-Chiun (North Brunswick NJ), Reactions involving zeolite catalysts modified with group IV A metals.
  1161. Merritt Todd A. (Boise ID) Blogett Greg A. (Eagle ID), Read circuit for accessing dynamic random access memories (DRAMS).
  1162. Beigel, Michael L., Rectifying charge storage device with bi-stable states.
  1163. Beigel, Michael L.; Yang, Yang, Rectifying charge storage element.
  1164. Michael L. Beigel ; Yang Yang, Rectifying charge storage element.
  1165. Xiao, Gang; Liu, Xiaoyong, Reduction of noise, and optimization of magnetic field sensitivity and electrical properties in magnetic tunnel junction devices.
  1166. Manning Monte (Kuna ID), Redundancy elements using thin film transistors (TFTs).
  1167. Manning Monte (Kuna ID), Redundancy elements using thin film transistors (TFTs).
  1168. Allen Judith E. ; Kraus William F. ; Lehman Lark E. ; Wilson Dennis R., Reference cell configuration for a 1T/1C ferroelectric memory.
  1169. Miyata Souichi (Nara JPX) Hatakekyama Kouichi (Nara JPX) Muramatsu Tsuyoshi (Chiba JPX), Refresh control circuit for memory.
  1170. Yang, Haining; Gealy, Dan; Sandhu, Gurtej S.; Rhodes, Howard; Visokay, Mark, Rhodium-rich oxygen barriers.
  1171. Tobin Roderick C. (Mount Waverley AUX) Perry Nigel D. (Altona AUX), Room temperature metal vapour laser.
  1172. Lu Chih-Yuan (Taipei TWX), Roughened polysilicon surface capacitor electrode plate for high denity dram.
  1173. Marsh, Eugene P.; Kraus, Brenda D., RuSixOy-containing adhesion layers and process for fabricating the same.
  1174. Ahn, Kie Y.; Forbes, Leonard, Ruthenium layer for a dielectric layer containing a lanthanide oxide.
  1175. Bronner Gary B. (Stormville NY) DeBrosse John K. (Burlington VT) Mandelman Jack A. (Stormville NY), SOI DRAM with field-shield isolation and body contact.
  1176. Yeo In Seok,KRX, SOI device and method for fabricating the same.
  1177. Krivokapic, Zoran; Xiang, Qi; Yu, Bin, SOI device with metal source/drain and method of fabrication.
  1178. Kenney Donald M., SOI fabrication method.
  1179. Rajeevakumar Thekkemadathil V. (Scarsdale NY), SOI trench DRAM cell for 256 MB DRAM and beyond.
  1180. Forbes, Leonard, SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  1181. Bhattacharyya,Arup, Scalable Flash/NV structures and devices with extended endurance.
  1182. Bhattacharyya,Arup, Scalable flash/NV structures and devices with extended endurance.
  1183. Howell Paul J. (Federal Way WA), Selective epitaxy BiCMOS process.
  1184. Christopher Hobbs ; Rama I. Hegde ; Philip J. Tobin, Selective removal of a metal oxide dielectric.
  1185. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  1186. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  1187. Brower Ronald W. (Kettering OH), Self-aligned all-n+polysilicon CMOS process.
  1188. Ning, Xian J., Self-aligned conductive line for cross-point magnetic memory integrated circuits.
  1189. Burns ; Jr. Stuart Mcallister ; Hanafi Hussein Ibrahim ; Kalter Howard Leo ; Welser Jeffrey J. ; Kocon Waldemar Walter, Self-aligned diffused source vertical transistors with deep trench capacitors in a 4F-square memory cell array.
  1190. Burns ; Jr. Stuart Mcallister ; Hanafi Hussein Ibrahim ; Kalter Howard Leo ; Welser Jeffrey J. ; Kocon Waldemar Walter, Self-aligned diffused source vertical transistors with deep trench capacitors in a 4F-square memory cell array.
  1191. Burns ; Jr. Stuart Mcallister ; Hanafi Hussein Ibrahim ; Welser Jeffrey J. ; Kocon Waldemar Walter ; Kalter Howard Leo, Self-aligned diffused source vertical transistors with stack capacitors in a 4F-square memory cell array.
  1192. Jones, Robert E.; Barron, Carole C.; Luckowski, Eric D.; Melnick, Bradley M., Self-aligned magnetic clad write line and its method of formation.
  1193. Huang Cheng H. (Hsin-Chu TWX) Lur Water (Taipei TWX), Self-aligned trenched contact (satc) process.
  1194. Merritt Todd (Boise ID) Williams Brett (Eagle ID), Self-enabling pulse trapping circuit.
  1195. Merritt Todd ; Williams Brett, Self-enabling pulse-trapping circuit.
  1196. Clevenger Lawrence A ; Hsu Louis L., Semi-sacrificial diamond for air dielectric formation.
  1197. Kuniyoshi Yoshikawa JP, Semiconductor Memory.
  1198. Chung, Jeong-hee; Park, In-sung; Yeo, Jae-hyun, Semiconductor capacitors having tantalum oxide layers.
  1199. DeBoer Scott Jeffrey ; Gealy F. Daniel ; Thakur Randhir P. S., Semiconductor circuit components and capacitors.
  1200. DeBoer Scott Jeffrey ; Gealy F. Daniel ; Thakur Randhir P. S., Semiconductor circuit components and capacitors.
  1201. Fazan, Pierre; Okhonin, Serguei, Semiconductor device.
  1202. Komiya Yoshio (Yokohama JPX), Semiconductor device.
  1203. Nishioka Yasushiro (Hachioji JPX) Homma Noriyuki (Kokubunji JPX) Sakuma Noriyuki (Hachioji JPX) Mukai Kiichiro (Hachioji JPX), Semiconductor device.
  1204. Tashiro Tsutomu (Tokyo JPX), Semiconductor device.
  1205. Tomio Iwasaki JP; Hiroshi Moriya JP; Hideo Miura JP; Shuji Ikeda JP, Semiconductor device.
  1206. Yoshii, Shigeo; Morimoto, Kiyoshi; Morita, Kiyoyuki; Sorada, Haruyuki, Semiconductor device.
  1207. Hirano, Izumi; Koyama, Masato; Nishiyama, Akira, Semiconductor device and method of manufacturing the same.
  1208. Kameyama Shuichi (Itami JPX) Kikuchi Kazuya (Hirakata JPX) Shimomura Hiroshi (Moriguchi JPX) Segawa Mizuki (Hirakata JPX), Semiconductor device and method of manufacturing the same.
  1209. Tamaki Tokuhiko (Osaka JPX) Sugiyama Tatsuo (Osaka JPX) Nakaoka Hiroaki (Osaka JPX), Semiconductor device and method of manufacturing the same.
  1210. Gotou Hiroshi (Saitama JPX), Semiconductor device and method of producing same.
  1211. Fujishima Kazuyasu (Hyogo JPX), Semiconductor device containing voltage converting circuit and operating method thereof.
  1212. Ohmi,Tadahiro; Sugawa,Shigetoshi; Sekine,Katsuyuki; Saito,Yuji, Semiconductor device formed on (111) surface of a Si crystal and fabrication process thereof.
  1213. Tsunashima, Yoshitaka; Inumiya, Seiji; Suizu, Yasumasa; Ozawa, Yoshio; Miyano, Kiyotaka; Tanaka, Masayuki, Semiconductor device having a gate insulating film structure including an insulating film containing metal, silicon and oxygen and manufacturing method thereof.
  1214. Tsunashima,Yoshitaka; Inumiya,Seiji; Suizu,Yasumasa; Ozawa,Yoshio; Miyano,Kiyotaka; Tanaka,Masayuki, Semiconductor device having a gate insulating film structure including an insulating film containing metal, silicon and oxygen and manufacturing method thereof.
  1215. Lee, Brian S., Semiconductor device having contact of Si-Ge combined with cobalt silicide.
  1216. Lee, Brian S., Semiconductor device having contact of Si-Ge combined with cobalt silicide.
  1217. Gardner Mark I. ; Fulford H. Jim ; May Charles E. ; Hause Fred ; Kwong Dim-Lee, Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof.
  1218. Sakamoto Mitsuru (Tokyo JPX) Hamano Kuniyuki (Tokyo JPX), Semiconductor device having multilayered wiring structure with a small parasitic capacitance.
  1219. Fujiwara Hideaki,JPX, Semiconductor device having multiple control gates.
  1220. Mine, Toshiyuki; Yugami, Jiro; Kobayashi, Takashi; Ushiyama, Masahiro, Semiconductor device having thin electrode layer adjacent gate insulator and method of manufacture.
  1221. Kim Hyoung-sub (Suwon KRX), Semiconductor device having vertical conduction transistors and cylindrical cell gates.
  1222. Hiroshi Kudo JP, Semiconductor device manufacturing method.
  1223. Lee, Brian S.; Walsh, John, Semiconductor device with SI-GE layer-containing low resistance, tunable contact.
  1224. Lee, Brian S.; Walsh, John, Semiconductor device with Si-Ge layer-containing low resistance, tunable contact.
  1225. Kinoshita,Hiroyuki; Sun,Yu; Banerjee,Basab; Foster,Christopher M.; Behnke,John R.; Tabery,Cyrus, Semiconductor device with core and periphery regions.
  1226. Steimle, Robert F.; Muralidhar, Ramachandran; Paulson, Wayne M.; Rao, Rajesh A.; White, Jr., Bruce E.; Prinz, Erwin J., Semiconductor device with nanoclusters.
  1227. Kutsunai, Toshie; Hayashi, Shinichiro; Mikawa, Takumi; Judai, Yuji, Semiconductor device with oxygen diffusion barrier layer termed from composite nitride.
  1228. Gardner Mark I. ; Gilmer Mark C., Semiconductor devices comprised of one or more epitaxial layers.
  1229. Ishibashi, Koichiro; Shukuri, Shoji; Yanagisawa, Kazumasa; Nishimoto, Junichi; Yamaoka, Masanao; Aoki, Masakazu, Semiconductor integrated circuit device.
  1230. Suzuki Takaaki,JPX ; Niimi Makoto,JPX ; Kawai Hideaki,JPX ; Kaida Masato,JPX, Semiconductor integrated circuit device capable of reducing power consumption.
  1231. Kawakubo Takashi,JPX ; Fukushima Noboru,JPX, Semiconductor integrated memory manufacturing method and device.
  1232. Teraguchi Nobuaki,JPX, Semiconductor light-emitting device.
  1233. Sato Katsuyuki (Kodaira JPX), Semiconductor memory.
  1234. Aoyama Masaharu (Yokohama JPX) Hiraki Shunichi (Yokohama JPX) Yonezawa Toshio (Yokosuka JPX), Semiconductor memory device.
  1235. Iwase Taira (Kawasaki JPX), Semiconductor memory device.
  1236. Katayama, Kozo; Hisamoto, Dai, Semiconductor memory device.
  1237. Ouchi, Yoshiaki; Ishihara, Masamichi; Matsumoto, Tetsuro; Miyazawa, Kazuyuki, Semiconductor memory device.
  1238. Ozaki Hideyuki (Itami JPX) Shimotori Kazuhiro (Itami JPX Fujishima Kazuyasu) Miyatake Hideshi (Itami JPX), Semiconductor memory device.
  1239. Yamazaki Shunpei (Tokyo JPX) Takemura Yasuhiko (Kanagawa JPX), Semiconductor memory device.
  1240. Tobita Youichi (Hyogo JPX), Semiconductor memory device and operating method thereof with transfer transistor used as a holding means.
  1241. Eichman Eric C. (Phoenix AZ) Salt Thomas C. (Chandler AZ), Semiconductor memory device and write-once, read-only semiconductor memory array using amorphous-silicon and method ther.
  1242. Kawada Koji (Tokyo JPX), Semiconductor memory device having bit lines less liable to have influences of the adjacent bit lines.
  1243. Takemae Yoshihiro (Tokyo JPX) Nakano Tomio (Kawasaki JPX) Nakano Masao (Kawasaki JPX) Sato Kimiaki (Tokyo JPX), Semiconductor memory device having extended period for outputting data.
  1244. Kuroda Kenichi (Tachikawa JPX), Semiconductor memory device having ferroelectric capacitor memory cells with reading, writing and forced refreshing func.
  1245. Nawaki Masaru (Nara JPX) Ueno Shounosuke (Osaka JPX), Semiconductor memory device having floating gate transistors and data holding means.
  1246. Ozaki Hiroji (Hyogo JPX), Semiconductor memory device having memory cells formed in trench and manufacturing method therefor.
  1247. Sugiyama Naoharu,JPX ; Tezuka Tsutomu,JPX ; Katoh Riichi,JPX ; Kurobe Atsushi,JPX ; Tanamoto Tetsufumi,JPX, Semiconductor memory device having multilayer group IV nanocrystal quantum dot floating gate and method of manufacturing the same.
  1248. Nakano Masao (Kawasaki JPX) Takemae Yoshihiro (Tokyo JPX), Semiconductor memory device having nibble mode function.
  1249. Ozaki Tohru (Tokyo JPX), Semiconductor memory device having surrounding gate transistor.
  1250. Hotta Yasuhiro (Nara JPX), Semiconductor memory device incorporating address change detection circuit for page mode access.
  1251. Fukiage Takahiko (Hyogo JPX) Inoue Yoshinori (Hyogo JPX), Semiconductor memory device with changeable input/output data bit arrangement.
  1252. Ulrike Gruening DE; Rajarao Jammy ; Helmut H. Tews, Semiconductor memory device with reduced orientation-dependent oxidation in trench structures.
  1253. Hara Kouji (Hadano JPX) Kurihara Ryoichi (Hadano JPX), Semiconductor memory with alternately multiplexed row and column addressing.
  1254. Matsumoto Tetsuro (Tachikawa JPX), Semiconductor memory with an improved nibble mode arrangement.
  1255. Wang Chu-Ping (Carrollton TX) Shah Ashwin H. (Dallas TX) Womack Richard H. (Dallas TX), Semiconductor memory with static column decode and page mode addressing capability.
  1256. Lawandy Nabil M., Semiconductor nanocrystal display materials and display apparatus employing same.
  1257. Endo Nobuhiro (Tokyo JPX), Semiconductor nonvolatile memory cell.
  1258. Gary M. Moore ; Katsuhito Nishikawa, Semiconductor processing reactor controllable gas jet assembly.
  1259. Ueda Tohru,JPX ; Nakamura Kenta,JPX ; Fukushima Yasumori,JPX, Semiconductor storage device capable of improving controllability of density and size of floating gate.
  1260. Ohba, Ryuji; Koga, Junji; Uchida, Ken, Semiconductor storage element.
  1261. Fitch Jon T. (Austin TX) Maniar Papu (Austin TX) Witek Keith E. (Austin TX) Gelatos Jerry (Austin TX) Moazzami Reza (Austin TX) Ajuria Sergio A. (Austin TX), Semiconductor structure having an air region and method of forming the semiconductor structure.
  1262. Jamal Ramdani ; Ravindranath Droopad ; Lyndee L. Hilt ; Kurt William Eisenbeiser, Semiconductor structure, semiconductor device, communicating device, integrated circuit, and process for fabricating the same.
  1263. Morishita, Takashi; Matsui, Masahiro, Semiconductor substrate and its production method, semiconductor device comprising the same and its production method.
  1264. Forbes Leonard ; Ahn Kie Y., Semiconductor-on-insulator memory cell with buried word and body lines.
  1265. Tsukude Masaki (Hyogo JPX) Arimoto Kazutami (Hyogo JPX), Sense amplifier including MOS transistors having threshold voltages controlled dynamically in a semiconductor memory dev.
  1266. Casper Stephen L. (Boise ID) Parkinson Ward D. (Boise ID), Sense amplifier pulldown device with tailored edge input.
  1267. Ong Adrian E. (Boise ID) Zagar Paul S. (Boise ID), Sense circuit for tracking charge transfer through access transistors in a dynamic random access memory.
  1268. Kalal, Peter J.; Quesada, Mark A., Sensors, methods of manufacture and sensing methods.
  1269. Pinkham Raymond (Missouri City TX), Separately addressable memory arrays in a multiple array semiconductor chip.
  1270. Sherman Arthur, Sequential chemical vapor deposition.
  1271. Sherman, Arthur, Sequential chemical vapor deposition.
  1272. Li, Weimin, Sequential pulse deposition.
  1273. Atwater,Harry A.; Walters,Robert J., Sequentially charged nanocrystal light emitting device.
  1274. Chung Jinyong (Los Altos Hills CA) Murray Michael A. (Bellevue WA), Serial address generator for burst memory.
  1275. Lewandowski Alan J. (Austin TX) Moench Jerry D. (Austin TX), Serial data mode circuit for a memory.
  1276. Pinkham Raymond (Missouri City TX) Valente Fredrick A. (Houston TX), Serially accessed semiconductor memory with tapped shift register.
  1277. Forbes,Leonard, Service programmable logic arrays with low tunnel barrier interpoly insulators.
  1278. Forbes,Leonard, Service programmable logic arrays with low tunnel barrier interpoly insulators.
  1279. Fernando Gonzalez ; Randhir Thakur, Shallow doped junctions with a variable profile gradation of dopants.
  1280. Gonzalez, Fernando; Thakur, Randhir, Shallow doped junctions with a variable profile gradation of dopants.
  1281. Gonzalez Fernando ; Thakur Randhir, Shallow junction formation using multiple implant sources.
  1282. Jablonski, Gregory A; Mastropietro, Michael A; Wargo, Christopher J., Shielding based on metallic nanoparticle compositions and devices and methods thereof.
  1283. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  1284. Williams Norman (Newark CA), Showerhead for uniform distribution of process gas.
  1285. Harari Eliyahou (2320 Friars La. Los Altos CA 94022), Sidewall capacitor DRAM cell.
  1286. Sasaki Nobuo (Kawasaki JPX) Ishigaki Toru (Kawasaki JPX), Signal processing device and a method for transmitting signal.
  1287. Kanazawa Nobuaki,JPX ; Mizukami Masao,JPX ; Ito Kunihiro,JPX, Signal receiving circuit and digital signal processing system.
  1288. Chang Robert Pang Heng ; Lauerhaas Jeffrey Michael ; Marks Tobin Jay ; Pernisz Udo C., Silica nanoparticles obtained from a method involving a direct current electric arc in an oxygen-containing atmosphere.
  1289. Paterson James L. (Richardson TX), Silicide/metal floating gate process.
  1290. Forbes,Leonard; Ahn,Kie Y.; Bhattacharyya,Arup, Silicon lanthanide oxynitride films.
  1291. Ahn Kie Y. ; Forbes Leonard, Silicon multi-chip module packaging with integrated passive components and method of making.
  1292. Hill, Christopher W., Silicon nanocrystal capacitor and process for forming same.
  1293. Hurley Kelly T. ; Li Li ; Fazan Pierre ; Wu Zhiqiang, Silicon nitride deposition method for use in forming a memory cell dielectric.
  1294. Imthurn George P. (San Diego CA) Walker Howard (San Diego CA), Silicon to sapphire bond.
  1295. Noble Wendell P., Silicon-on-insulator islands and method for their formation.
  1296. Hong Gary,TWX ; Hsu Ching-Hsiang,TWX, Silicon-rich tunnel oxide formed by oxygen implantation for flash EEPROM.
  1297. Fengyan Zhang ; Yanjun Ma ; Jer-Shen Maa ; Wei-Wei Zhuang ; Sheng Teng Hsu, Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same.
  1298. Salerno Jack P. ; Zavracky Paul M. ; Spitzer Mark B. ; Dingle Brenda, Single crystal silicon arrayed devices with optical shield between transistor and substrate.
  1299. Ahn Kie ; Forbes Leonard, Single electron MOSFET memory device and method.
  1300. Ahn Kie Y. ; Forbes Leonard, Single electron resistor memory device and method for use thereof.
  1301. Hsu, Sheng Teng; Zhang, Fengyan, Single transistor ferroelectric transistor structure with high-K insulator and method of fabricating same.
  1302. Nakajima Anri,JPX ; Horiguchi Naoto,JPX ; Nakao Hiroshi,JPX, Single-electron device including therein nanocrystals.
  1303. Chou Stephen Y. ; Guo Lingjie ; Leobandung Effendi, Single-electron floating-gate MOS memory.
  1304. Manning Monte (Kuna ID), Sixteen megabit static random access memory (SRAM) cell.
  1305. Cosley, Michael R.; Fischer, Richard L.; Thiesen, Jack H.; Willen, Gary S., Small scale chip cooler assembly.
  1306. Gruen Dieter M. ; Krauss Alan R. ; Erdemir Ali ; Bindal Cuma ; Zuiker Christopher D., Smooth diamond films as low friction, long wear surfaces.
  1307. Peng Kuo-Reay,JPX ; Lee Jian-Hsing,JPX ; Yeh Juang-Ke,JPX ; Ho Ming-Chon,JPX, Snapback reduces the electron and hole trapping in the tunneling oxide of flash EEPROM.
  1308. Saidi M. Yazid ; Barker Jeremy ; Koksbang Rene,DKX, Solid secondary lithium cell based on lithiated zirconium, titanium or hafnium oxide cathode material.
  1309. Hong,Cheong M.; Chindalore,Gowrishankar L., Source side injection storage device with spacer gates and method therefor.
  1310. Hong Gary (Hsin-Chu TWX), Split-gate flash memory cell.
  1311. Chang Kuo-Tung ; Chang Ko-Min ; Chen Wei-Ming ; Forbes Keith ; Roberts Douglas R., Split-gate memory device and method for accessing the same.
  1312. Marius Orlowski ; Kuo-Tung Chang ; Keith E. Witek ; Jon Fitch, Split-gate vertically oriented EEPROM device and process.
  1313. Bhattacharyya, Arup, Stable PD-SOI devices and methods.
  1314. Shen Hua ; Hoepfner Joachim, Stack capacitor with improved plug conductivity.
  1315. Saito Ryuichi (Tokyo JPX) Momma Naohiro (Hitachi JPX), Stacked MOS transistor flip-flop memory cell.
  1316. Lee Ruojia (Boise ID) Gonzalez Fernando (Boise ID), Stacked capacitor doping technique making use of rugged polysilicon.
  1317. Kim Dae Mann,KRX ; Cho Myoung-kwan,KRX, Stacked-gate flash EEPROM memory devices having mid-channel injection characteristics for high speed programming.
  1318. Sugahara Kazuyuki (Hyogo JPX) Ajika Natsuo (Hyogo JPX) Ogawa Toshiaki (Hyogo JPX) Iwamatsu Toshiaki (Hyogo JPX) Ipposhi Takashi (Hyogo JPX), Stacked-type semiconductor device.
  1319. Hefele Hermann L. (Augsburg DEX), Stencils having enhanced wear-resistance and methods of manufacturing the same.
  1320. Adam Fritz G. (Freiburg DEX), Storage transistor.
  1321. Hardee Kim C. (Colorado Springs CO) Cordoba Michael V. (Colorado Springs CO), Stress mode circuit for an integrated circuit with on-chip voltage down converter.
  1322. Smarandoiu George (San Jose CA) Schumann Steven J. (Sunnyvale CA) Wu Tsung-Ching (Saratoga CA), Stress reduction for non-volatile memory cell.
  1323. Kaushik, Vidya S.; Nguyen, Bich-Yen, Strontium nitride or strontium oxynitride gate dielectric.
  1324. Ahn Kie Y. ; Forbes Leonard ; Cloud Eugene H., Structure and method for a high performance electronic packaging assembly.
  1325. Ahn, Kie Y.; Forbes, Leonard; Cloud, Eugene H., Structure and method for a high-performance electronic packaging assembly.
  1326. Ahn Kie Y. ; Forbes Leonard, Structure and method for dual gate oxide thicknesses.
  1327. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  1328. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  1329. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  1330. Gonzalez Fernando (Boise ID), Structure for cross coupled thin film transistors and static random access memory cell.
  1331. Furukawa Toshiharu ; Hakey Mark C. ; Holmes Steven J. ; Horak David V. ; Kalter Howard L. ; Mandelman Jack A. ; Rabidoux Paul A. ; Welser Jeffrey J., Structure for folded architecture pillar memory cell.
  1332. Toshiharu Furukawa ; Mark C. Hakey ; Steven J. Holmes ; David V. Horak ; Howard L. Kalter ; Jack A. Mandelman ; Paul A. Rabidoux ; Jeffrey J. Welser, Structure for folded architecture pillar memory cell.
  1333. Hsu Louis Lu-Chen ; Mandelman Jack Allan ; Assaderaghi Fariborz, Structure for low cost mixed memory integration, new NVRAM structure, and process for forming the mixed memory and NVRA.
  1334. Paul A. Farrar, Structures and methods to enhance copper metallization.
  1335. Ahn, Kie Y.; Forbes, Leonard, Structures containing titanium silicon oxide.
  1336. Marsh Eugene P., Structures including low carbon/oxygen conductive layers.
  1337. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  1338. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  1339. Sunkavalli Ravi S., Substrate hole injection for neutralizing spillover charge generated during programming of a non-volatile memory cell.
  1340. Miyamoto, Matsutaro, Substrate processing apparatus.
  1341. Marzolin,Christian; Marchal,Arnaud; Talpaert,Xavier, Substrate with a photocatalytic coating.
  1342. Xi Xiaoxing (Greenbelt MD) Doughty Chris (Washington DC) Venkatesan Thirumalai (Washington DC), Superconducting field effect devices with thin channel layer.
  1343. Baldwin Daniel F. (Medford MA) Suh Nam P. (Sudbury MA) Park Chul B. (Cambridge MA) Cha Sung W. (Cambridge MA), Supermicrocellular foamed materials.
  1344. Ong Adrian E., Supervoltage detection circuit having a multi-level reference voltage.
  1345. Pomarede, Christophe F.; Roberts, Jeff; Shero, Eric J., Surface preparation prior to deposition.
  1346. Doppelhammer, Robert, Surface sealing showerhead for vapor deposition reactor having integrated flow diverters.
  1347. Ogami Nobutoshi (Shiga JPX) Kitagawa Masaru (Shiga JPX), Surface treatment apparatus.
  1348. Zagar Paul (Boise ID), Synchronous NAND DRAM architecture.
  1349. Zagar Paul S. (Boise ID) Manning Troy A. (Boise ID) Merritt Todd (Boise ID), Synchronous burst extended data out DRAM.
  1350. Takasugi Atsushi (Tokyo JPX), Synchronous burst-access memory.
  1351. Takasugi Atsushi (Tokyo JPX), Synchronous dynamic random access memory.
  1352. Borden Peter G., System and method for measuring the microroughness of a surface of a substrate.
  1353. Allen Greg L. (Boise ID) Kim Jae-Hu (Boise ID) Watson Lynn R. (Boise ID), System for determining pluggable memory characteristics employing a status register to provide information in response t.
  1354. Lipp Robert J. (15881 Rose Ave. Los Gatos CA 95030), System for interconnecting VLSI circuits with transmission line characteristics.
  1355. Vaartstra, Brian A.; Uhlenbrock, Stefan, Systems and methods for forming strontium- and/or barium-containing layers.
  1356. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using disilazanes.
  1357. Gruen, Dieter M.; McCauley, Thomas G.; Zhou, Dan; Krauss, Alan R., Tailoring nanocrystalline diamond film properties.
  1358. Bakli, Mouloud; Ghanayem, Steve G.; Tran, Huyen T., Tantalum nitride CVD deposition by tantalum oxide densification.
  1359. Forbes Leonard, Technique for producing small islands of silicon on insulator.
  1360. Forbes Leonard (Corvallis OR), Technique for producing small islands of silicon on insulator.
  1361. Forbes, Leonard; Tran, Luan C.; Ahn, Kie Y., Technique to mitigate short channel effects with vertical gate transistor with different gate materials.
  1362. Van Buskirk Peter C. ; Russell Michael W., Ternary nitride-carbide barrier layers.
  1363. Catiller Robert D. (Garden Grove CA), Testing system for reliable access times in ROM semiconductor memories.
  1364. Strutt Peter R. ; Kear Bernard H. ; Boland Ross F., Thermal spray method for the formation of nanostructured coatings.
  1365. Smith, Robert W. M.; Poese, Matthew E.; Garrett, Steven L.; Wakeland, Ray S., Thermoacoustic device.
  1366. Moss William C. (San Mateo CA), Thermoacoustic refrigerator.
  1367. Jerome M. Eldridge, Thin dielectric films for DRAM storage capacitors.
  1368. Duenas Salvador ; Kola Ratnaji Rao ; Kumagai Henry Y. ; Lau Maureen Yee ; Sullivan Paul A. ; Tai King Lien, Thin film capacitors and process for making them.
  1369. Koh,Won yong; Lee,Chun soo, Thin film forming method.
  1370. Cabral ; Jr. Cyril (Ossining NY) Colgan Evan G. (Suffern NY) Grill Alfred (White Plains NY), Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum.
  1371. Shah Pradeep L. (Dallas TX), Three dimensional FAMOS memory devices.
  1372. Shah Pradeep L. (Dallas TX), Three dimensional famos memory devices and methods of fabricating.
  1373. Hush Glen E. (Boise ID) Mailloux Jeffrey S. (Boise ID) Cloud Eugene H. (Boise ID), Three port random access memory.
  1374. Paul A. Farrar, Three-dimensional multichip module.
  1375. Tue Nguyen, Three-dimensional showerhead apparatus.
  1376. Bryan, Philip S.; Lambert, Patrick M.; Towers, Christine M.; Jarrold, Gregory S., Titanium activated hafnia and/or zirconia host phosphor containing a selected rare earth.
  1377. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), Titanium activated hafnia and/or zirconia host phosphor containing indium.
  1378. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), Titanium activated hafnia and/or zirconia host phosphor containing neodymium.
  1379. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), Titanium activated hafnia and/or zirconia host phosphor containing scandium.
  1380. Ahn, Kie Y.; Forbes, Leonard, Titanium aluminum oxide films.
  1381. Chen, San-Yuan; Hsieh, Wen-Feng; Ting, Chu-Chi, Titanium dioxide film co-doped with yttrium and erbium and method for producing the same.
  1382. Basceri, Cem; Rhodes, Howard E.; Sandhu, Gurtej; Gealy, F. Daniel; Graettinger, Thomas M., Top electrode in a strongly oxidizing environment.
  1383. Eppich,Denise M.; Weimer,Ronald A., Transistor devices, and methods of forming transistor devices and circuit devices.
  1384. Forbes, Leonard, Transistor with nanocrystalline silicon gate structure.
  1385. Forbes Leonard ; Geusic Joseph E. ; Ahn Kie Y., Transistor with silicon oxycarbide gate and methods of fabrication and use.
  1386. Forbes, Leonard; Ahn, Kie Y., Transistor with variable electron affinity gate and methods of fabrication and use.
  1387. Hofmann,Franz; Willer,Josef, Transistor-arrangement, method for operating a transistor arrangement as a data storage element and method for producing a transistor-arrangement.
  1388. Forbes,Leonard; Cloud,Eugene H.; Ahn,Kie Y., Transmission lines for CMOS integrated circuits.
  1389. Leonard Forbes ; Eugene H. Cloud ; Kie Y. Ahn, Transmission lines for CMOS integrated circuits.
  1390. Gaudreault Pierre,CAX ; Tremblay Rejean,CAX, Tree harvester provided with a rotatable worktable.
  1391. Wurster, Kai; Schrems, Martin; Faul, Jürgen; Morhard, Klaus-Dieter; Lamprecht, Alexandra; Dequiedt, Odile, Trench capacitor with an insulation collar and method for producing a trench capacitor.
  1392. Schrems Martin,DEX ; Mandelman Jack ; Hoepfner Joachim ; Schaefer Herbert,DEX ; Stengl Reinhard,DEX, Trench capacitor with epi buried layer.
  1393. Wurster, Kai; Schrems, Martin; Faul, Jurgen; Morhard, Klaus-Dieter; Lamprecht, Alexandra; Dequiedt, Odile, Trench capacitor with insulation collar and method for producing the trench capacitor.
  1394. Schrems Martin,DEX, Trench capacitor with isolation collar and corresponding manufacturing method.
  1395. Manning Monte (Boise ID), Trench isolation method having a double polysilicon gate formed on mesas.
  1396. Furukawa Toshiharu ; Hakey Mark C. ; Horak David V. ; Ma William H. ; Mandelman Jack A., Trench storage dynamic random access memory cell with vertical transfer device.
  1397. Marcy ; 5th Henry O. ; Pedrotti Kenneth D. ; Pehlke David R. ; Seabury Charles W. ; Yao Jun J. ; Bartlett James L. ; Chang Mau Chung F. ; Mehrotra Deepak ; Tham J. L. Julian, Trimmable singleband and tunable multiband integrated oscillator using micro-electromechanical system (MEMS) technology.
  1398. Lam Chung H., Two square NVRAM cell.
  1399. Friedenreich John P. ; Carstensen Robert K., Two-step nitride deposition.
  1400. Verhaar, Robertus Dominicus Joseph, Two-transistor flash cell.
  1401. Noble Wendell P. ; Forbes Leonard, Ultra high density flash memory.
  1402. Noble Wendell P., Ultra high density flash memory having vertically stacked devices.
  1403. Noble Wendell P., Ultra high density flash memory having vertically stacked devices.
  1404. Shimabukuro, Randy L.; Russell, Stephen D.; Offord, Bruce W., Ultra-high resolution liquid crystal display on silicon-on-sapphire.
  1405. Zoran Krivokapic, Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication.
  1406. Klemperer, Walter G.; Lee, Jason; Mikalsen, Erik A.; Payne, David A., Ultrathin oxide films on semiconductors.
  1407. Donohoe Kevin G. (Boise ID), Use of a high density plasma source having an electrostatic shield for anisotropic polysilicon etching over topography.
  1408. Wang, Zhigang; Guo, Xin; He, Yue-Song, Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling.
  1409. Halliyal, Arvind; Ramsbey, Mark T.; Zhang, Wei; Randolph, Mark W.; Cheung, Fred T. K., Use of high-K dielectric material in modified ONO structure for semiconductor devices.
  1410. Halliyal, Arvind; Ramsbey, Mark T.; Chang, Kuo-Tung; Tripsas, Nicholas H.; Ogle, Robert B., Use of high-k dielectric materials in modified ONO structure for semiconductor devices.
  1411. Saeki Hiroaki (Yamanashi JPX), Vacuum processing apparatus.
  1412. Atwell David R. (Boise ID) Westmoreland Donald L. (Boise ID), Vapor delivery system for solid precursors and method regarding same.
  1413. Ohashi Tadashi,JPX ; Chaki Katuhiro,JPX ; Xin Ping,JPX ; Fujii Tatsuo,JPX ; Iwata Katsuyuki,JPX ; Mitani Shinichi,JPX ; Honda Takaaki,JPX, Vapor deposition apparatus and method for forming thin film.
  1414. Mieno Fumitake (Kawasaki JPX) Kurita Kazuyuki (Yokohama JPX) Nakamura Shinji (Yokohama JPX) Shimizu Atuo (Kawasaki JPX), Vapor deposition method for simultaneously growing an epitaxial silicon layer and a polycrystalline silicone layer over.
  1415. Smith David C. (Los Alamos NM) Pattillo Stevan G. (Los Alamos NM) Laia ; Jr. Joseph R. (Los Alamos NM) Sattelberger Alfred P. (Los Alamos NM), Vapor deposition of thin films.
  1416. Chindalore, Gowrishankar L.; Rao, Rajesh A.; Yater, Jane A., Variable gate bias for a reference transistor in a non-volatile memory.
  1417. Chatterjee Pallab K. (Richardson TX) Shah Ashwin H. (Dallas TX), Vertical DRAM cell and method.
  1418. Chatterjee Pallab K. (Richardson TX) Shah Ashwin H. (Dallas TX), Vertical DRAM cell and method.
  1419. Lu Chih-Yuan (Hsin-chu TWX), Vertical DRAM cross point memory cell and fabrication method.
  1420. Forbes, Leonard, Vertical NROM having a storage density of 1 bit per 1F2.
  1421. Forbes, Leonard, Vertical NROM having a storage density of 1 bit per 1F2.
  1422. Forbes, Leonard, Vertical NROM having a storage density of 1 bit per 1F2.
  1423. Forbes Leonard, Vertical bipolar read access for low voltage memory cell.
  1424. Rudeck, Paul, Vertical floating gate transistor.
  1425. Forbes Leonard ; Ahn Kie Y., Vertical gate transistors in pass transistor logic decode circuits.
  1426. Leonard Forbes ; Kie Y. Ahn, Vertical gate transistors in pass transistor programmable logic arrays.
  1427. Mori Kiyoshi (Stafford TX), Vertical memory cell array and method of fabrication.
  1428. Fitch Jon T. (Austin TX) Mazur Carlos A. (Austin TX) Witek Keith E. (Austin TX), Vertical transistor having an underlying gate electrode contact.
  1429. Nishimura Tadashi (Hyogo JPX) Sugahara Kazukyuki (Hyogo JPX) Kusunori Shigeru (Hyogo JPX) Ohsaki Akihiko (Hyogo JPX), Vertical type MOS transistor and method of formation thereof.
  1430. Johnson Mark G. ; Lee Thomas H. ; Subramanian Vivek ; Farmwald P. Michael ; Cleeves James M., Vertically stacked field programmable nonvolatile memory and method of fabrication.
  1431. Johnson Mark G. ; Lee Thomas H. ; Subramanian Vivek ; Farmwald Paul Michael ; Cleeves James M., Vertically stacked field programmable nonvolatile memory and method of fabrication.
  1432. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  1433. Gore Robert Walton (Newark DE), Very highly stretched polytetrafluoroethylene and process therefor.
  1434. Hush Glen (Boise ID) Seibert Mike (Eagle ID) Mailloux Jeff (Boise ID) Thomann Mark R. (Boise ID), Video random access memory device and method implementing independent two WE nibble control.
  1435. West Roderick M. P. (Chandlers Ford VT GB2) Williams Todd (Westford VT), Video random access memory serial port access.
  1436. Redwine Donald J. (Houston TX) Pinkham Raymond (Stafford TX), Video system having a dual-port memory with inhibited random access during transfer cycles.
  1437. Tsipursky Semeon ; Dolinko Vladimir ; Psihogios Vasiliki ; Beall Gary W., Viscous carrier compositions, including gels, formed with an organic liquid carrier, a layered material: polymer complex, and a di-, and/or tri-valent cation.
  1438. Casper Stephen L. (Boise ID), Voltage compensating CMOS input buffer.
  1439. Casper Stephen L. (Boise ID), Voltage compensating CMOS input buffer.
  1440. Wilson Dennis R. (Black Forest CO) Meadows H. Brett (Colorado Springs CO), Voltage reference for a ferroelectric 1T/1C based memory.
  1441. Ramakrishnan E. S. (Albuquerque) Cornett Kenneth D. (Albuquerque) Howng Wei-Yean (Albuquerque NM), Voltage variable capacitor having amorphous dielectric film.
  1442. Leonard Forbes ; Kie Y. Ahn, Weak ferroelectric transistor.
  1443. Greenwood Jonathon (Boynton Beach FL) Hendricks Douglas W. (Boca Raton FL) Juskey Frank (Coral Springs FL), Wire bond pad arrangement having improved pad density.
  1444. Patricia A. Tooley, Wire coating compositions.
  1445. Casper Stephen L. (Boise ID) Ong Adrian (Boise ID) Zagar Paul S. (Boise ID), Wordline driver circuit having a directly gated pull-down device.
  1446. Forbes, Leonard, Write once read only memory employing charge trapping in insulators.
  1447. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Rochester NY), X-ray intensifying screen containing hafnia phosphor.
  1448. Bryan Philip S. (Webster NY) Lambert Patrick W. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phospher containing europium to reduce afterglo.
  1449. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing erbium to reduce afterglow.
  1450. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing gadolinium to reduce afterg.
  1451. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing indium.
  1452. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing lutetium to reduce afterglo.
  1453. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing neodymium to reduce aftergl.
  1454. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing samarium to reduce afterglo.
  1455. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing scandium.
  1456. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing thulium to reduce afterglow.
  1457. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing ytterbium to reduce aftergl.
  1458. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphor containing yttrium to reduce afterglow.
  1459. Bryan Philip S. (Webster NY) Lambert Patrick M. (Rochester NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen including a titanium activated hafnium dioxide phosphur containing holmium to reduce afterglow.
  1460. Bryan Philip S. (Rochester NY) Lambert Patrick M. (Webster NY) Towers Christine M. (Rochester NY) Jarrold Gregory S. (Henrietta NY), X-ray intensifying screen with enhanced emission.
  1461. Haruo Urai JP, Yoke-type magnetoresistive (MR) head, yoke-type MR composite thin film head, and magnetic storage apparatus.
  1462. Chu Chin C. (North Brunswick NJ), Zeolite catalysts modified with group IV A metals.
  1463. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  1464. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  1465. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium silicon-oxynitride gate dielectric.
  1466. Ahn, Kie Y.; Forbes, Leonard, Zirconium titanium oxide films.
  1467. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  1468. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  1469. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  1470. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  1471. Ahn,Kie Y.; Forbes,Leonard, Zr--Sn--Ti--O films.
  1472. Ahn, Kie Y.; Forbes, Leonard, Zr-Sn-Ti-O films.
  1473. Ahn, Kie Y.; Forbes, Leonard, Zr-Sn-Ti-O films.

문의처: helpdesk@kisti.re.kr전화: 080-969-4114

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로