$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Semiconductor reaction chamber with plasma capabilities 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/67
  • C23C-016/455
  • H01L-021/3065
  • H01L-021/02
  • H01L-021/314
  • H01J-037/32
출원번호 US-0948055 (2013-07-22)
등록번호 US-9018111 (2015-04-28)
발명자 / 주소
  • Milligan, Robert Brennan
  • Alokozai, Fred
출원인 / 주소
  • ASM IP Holding B.V.
대리인 / 주소
    Snell & Wilmer LLP
인용정보 피인용 횟수 : 71  인용 특허 : 149

초록

A processing chamber including a reaction chamber having a processing area, a processing gas inlet in communication with the processing area, a first excited species generation zone in communication with the processing gas inlet and a second exited species generation zone in communication with the p

대표청구항

1. A processing chamber comprising: a reaction chamber having a processing area;a processing gas inlet in communication with the processing area;a first excited species generation zone in communication with the processing gas inlet; and,a second excited species generation zone in communication with

이 특허에 인용된 특허 (149)

  1. Raaijmakers, Ivo, Apparatus and method for growth of a thin film.
  2. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  3. Stanislaw Kopacz ; Douglas Arthur Webb ; Gerrit Jan Leusink ; Rene Emile LeBlanc ; Michael S. Ameen ; Joseph Todd Hillman ; Robert F. Foster ; Robert Clark Rowan, Jr., Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  4. Liu, Benjamin Y. H.; Dinh, Thuc M.; Dick, William D.; Collins, Aaron M.; Romay, Francisco J., Apparatus for counting particles in a gas.
  5. Bahng, Kenneth J.; Davis, Matthew F.; Morey, Travis; Carducci, James D., Apparatus for efficient removal of halogen residues from etched substrates.
  6. Soininen Pekka,FIX ; Patteri Janne,FIX, Apparatus for growing thin films.
  7. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Apparatus for performing growth of compound thin films.
  8. Zhao Jun ; Sinha Ashok ; Tepman Avi ; Chang Mei ; Luo Lee ; Schreiber Alex ; Sajoto Talex ; Wolff Stefan ; Dornfest Charles ; Danek Michal, Apparatus for substrate processing with improved throughput and yield.
  9. Lee, Bong-Ju; Yoo, Suk-Jae, Apparatus for treating the surface with neutral particle beams.
  10. Aarseth Finn,NOX, Arrangement in a pipe bundle.
  11. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  12. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of ZrHfSnOfilms as high k gate dielectrics.
  13. Lee,Sang In; Owyang,Jon S.; Senzaki,Yoshihide; Helms, Jr.,Aubrey L.; Kapkin,Karem, Atomic layer deposition of hafnium-based high-k dielectric.
  14. Kilpela, Olli; Saanila, Ville; Li, Wei-Min; Elers, Kai-Erik; Kostamo, Juhana; Raaijmakers, Ivo; Granneman, Ernst, Atomic layer deposition reactor.
  15. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  16. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  17. Elmer Arthur E. H. (Stroud GB2), Automatic control valves.
  18. Uzoh,Cyprian Emeka; Talieh,Homayoun; Basol,Bulent, Chip interconnect and packaging deposition methods and structures.
  19. Patrick Leahey ; Jerry C. Chen ; Richard E. Remington ; Simon Yavelberg ; Timothy Driscoll ; Robert E. Ryan ; Brian Hatcher ; Rolf Guenther ; Xueyu Qian, Closed-loop dome thermal control apparatus for a semiconductor wafer processing system.
  20. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartnetalized substrate processing chamber.
  21. Stine Clifford R. (Solon OH) Wojtecki Rudolph G. (Mantua OH), Composite tubing product.
  22. Bauer, Matthias; Thomas, Shawn G., Cyclical epitaxial deposition and etch.
  23. Prescott Norman F. (Four Fairview Ave. Wenham MA 01984), Delivery system for organometallic compounds.
  24. Wang, Luping; Baum, Thomas H.; Xu, Chongying, Delivery systems for efficient vaporization of precursor source material.
  25. Wang,Luping; Baum,Thomas H.; Xu,Chongying, Delivery systems for efficient vaporization of precursor source material.
  26. Craig R. Metzner ; Turgut Sahin ; Gregory F. Redinbo ; Pravin K. Narwankar ; Patricia M. Liu, Deposition reactor having vaporizing, mixing and cleaning capabilities.
  27. Ogliari, Vincenzo; Pozzetti, Vittorio; Preti, Franco, Device and method for handling substrates by means of a self-leveling vacuum system in epitaxial induction.
  28. Numakura, Masahiro, Device for controlling processing system, method for controlling processing system and computer-readable storage medium stored processing program.
  29. Minami Yukio,JPX ; Ikeda Nobukazu,JPX ; Yamaji Michio,JPX ; Tanigawa Tsutomu,JPX, Device for heating fluid controller.
  30. Majewski Robert ; Kao Yeh-Jen ; Wang Yen Kun, Dual channel gas distribution plate.
  31. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  32. Oehlschlaeger Richard M. (Novelty OH) Greco John R. (Ravenna OH), Dual wall safety tube.
  33. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  34. Li, Yicheng, Exhaust apparatus configured to reduce particle contamination in a deposition system.
  35. Hattori, Tadashi, Exposure apparatus and method of manufacturing device.
  36. Hayashi, Yutaka, Exposure apparatus, exposure method, and device manufacturing method.
  37. Basol,Bulent M.; Talieh,Homayoun, Fabrication of semiconductor interconnect structures.
  38. Fastow,Richard M.; He,Yue Song; Wang,Zhigang, Flash memory with high-K dielectric material between substrate and gate.
  39. Aid James D. (St. Petersburg FL) Cameron Norman F. (St. Petersburg FL) Hartranft Thomas P. (Safety Harbor FL), Flow measurement system.
  40. Ismailov, Murad M., Flow meter.
  41. Lei Lawrence ; Trihn Son ; Huston Joel M., Fluid delivery system and method.
  42. Paton, Eric N.; Xiang, Qi; Yu, Bin, Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications.
  43. White, Carl L.; Shero, Eric; Reed, Joe, Gap maintenance for opening to process chamber.
  44. Hawkins Mark R. (Mesa AZ) Robinson McDonald (Paradise Valley AZ), Gas injectors for reaction chambers in CVD systems.
  45. Adachi,Naoshi; Yoshida,Kazushi; Aoki,Yoshiro, Heat treatment jig for semiconductor substrate.
  46. Vincent Kent D. (Cupertino CA), Heated transfer line for capillary tubing.
  47. Kasai, Shigeru; Suzuki, Tomohiro, Heating apparatus, heat treatment apparatus, computer program and storage medium.
  48. Gupta Anand ; Parkhe Vijay, Increasing the sensitivity of an in-situ particle monitor.
  49. Christensen Robert W. (Monte Sereno CA), Induction heated pancake epitaxial reactor.
  50. Hayes Donald J. ; Wallace David B. ; Frederickson Christopher J., Inline thermo-cycler.
  51. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  52. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  53. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  54. Cowans,Kenneth W., Lateral temperature equalizing system for large area surfaces during processing.
  55. Cowans,Kenneth W., Lateral temperature equalizing system for large area surfaces during processing.
  56. Furukawahara, Kazunori; Fukuda, Hideaki, Liquid material vaporization apparatus for semiconductor processing apparatus.
  57. Hammel Bent (Eiksmarka NOX), Method and a plant for transport of hydrocarbons over a long distance from an offshore source of hydrocarbons.
  58. Uzoh, Cyprian Emeka, Method and apparatus for 3D interconnect.
  59. Barr Thomas Aloysius ; Barr Christopher Velton ; Elliott James Charles ; Frew Dirk Alan, Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components.
  60. Jevtic Dusan, Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool.
  61. Boitnott Charles A. (Half Moon Bay CA) Toole Monte M. (San Carlos CA), Method and apparatus for batch processing a semiconductor wafer.
  62. Benjamin, Neil; Steger, Robert, Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support.
  63. Reed, Joseph C; Shero, Eric J, Method and apparatus for minimizing contamination in semiconductor processing chamber.
  64. Venkatesh Srilakshmi ; Jevtic Dusan, Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot.
  65. Gregg, John N.; Battle, Scott L.; Banton, Jeffrey I.; Naito, Donn K.; Laxman, Ravi K., Method and apparatus to help promote contact of gas with vaporized material.
  66. Basol,Bulent M.; Talieh,Homayoun, Method and structure to improve reliability of copper interconnects.
  67. Okrah, Angel Y., Method and system for using a buffer to track robotic movement.
  68. Tuominen, Marko; Shero, Eric; Verghese, Mohith, Method for controlling the sublimation of reactants.
  69. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method for depositing a nanolaminate film by atomic layer deposition.
  70. Ji,Bing; Motika,Stephen Andrew; Pearlstein,Ronald Martin; Karwacki, Jr.,Eugene Joseph; Wu,Dingjun, Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials.
  71. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  72. Jeon,Joong S.; Clark Phelps,Robert B.; Xiang,Qi; Zhong,Huicai, Method for forming a thin, high quality buffer layer in a field effect transistor and related structure.
  73. Chang Auck Choi KR; Chi Hoon Jun KR; Won Ick Jang KR; Yun Tae Kim KR, Method for forming micro cavity.
  74. Kuo-Liang Lu TW; Yung-Chih Yao TW, Method for preventing contamination in a plasma process chamber.
  75. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  76. Schaeffer,James K.; Roan,Darrell; Triyoso,Dina H.; Adetutu,Olubunmi O., Method for treating a semiconductor surface to form a metal-containing layer.
  77. Yun-sook Chae KR; In-sang Jeon KR; Sang-bom Kang KR; Sang-in Lee KR; Kyu-wan Ryu KR, Method of delivering gas into reaction chamber and shower head used to deliver gas.
  78. Ma, Yanjun; Ono, Yoshi, Method of forming a multilayer dielectric stack.
  79. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Method of forming graded thin films using alternating pulses of vapor phase reactants.
  80. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  81. Wei, An Chyi, Method of forming self-aligned contacts and local interconnects.
  82. Agarwala, Birendra N.; Dalal, Hormazdyar Minocher; Liniger, Eric G.; Llera-Hurlburt, Diana; Nguyen, Du Binh; Procter, Richard W.; Rathore, Hazara Singh; Tian, Chunyan E.; Engel, Brett H., Method of making an edge seal for a semiconductor device.
  83. Liang,Chieh Shuo; Tzeng,Pei Jer; Lee,Heng Yuan; Lee,Lurng Shehng, Method of manufacturing charge storage device.
  84. Price J. B. (Scottsdale AZ) Tobin Philip J. (Scottsdale AZ) Pintchovski Fabio (Mesa AZ) Seelbach Christian A. (San Jose CA), Method of producing titanium nitride MOS device gate electrode.
  85. Jerbic Chris (Fremont CA), Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation.
  86. Conley, Jr., John F.; Ono, Yoshi, Method to control the interfacial layer for deposition of high dielectric constant films.
  87. McMillan Larry D. (Colorado Springs CO) Paz de Araujo Carlos A. (Colorado Springs CO), Methods and apparatus for material deposition.
  88. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  89. Bright Nick ; Mooring Ben, Modular architecture for semiconductor wafer fabrication equipment.
  90. Rubin Richard H. (Fairfield NJ) Petrone Benjamin J. (Netcong NJ) Heim Richard C. (Mountain View CA) Pawenski Scott M. (Wappingers Falls NY), Modular processing apparatus for processing semiconductor wafers.
  91. Goldsmith Forest S. (Newton MA) Waugh Arthur (Winchester MA), Movable core fast cool-down furnace.
  92. Conley, Jr.,John F.; Ono,Yoshi; Solanki,Rajendra, Nanolaminate film atomic layer deposition method.
  93. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  94. Onodera, Naomi; Gokon, Kiyohiko; Sato, Jun, Plasma process apparatus and plasma process method.
  95. Kumihashi Takao (Kokubunji JPX) Tsujimoto Kazunori (Higashi-yamato JPX) Tachi Shinichi (Sayama JPX) Kanetomo Masafumi (Tokyo JPX) Kobayashi Junichi (Ushiku JPX) Usui Tatehito (Ibaraki JPX) Mise Nobuy, Plasma treatment method and apparatus.
  96. Tachikawa, Yuichi; Yasui, Kazumichi; Nakaso, Kiyoshi; Hattori, Kiyoshi; Okuya, Tsugiyuki; Mita, Makoto, Position measurement apparatus and method and pattern forming apparatus and writing method.
  97. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E; White, Carl L, Precursor delivery system.
  98. Mahajani,Maitreyee, Pretreatment processes within a batch ALD reactor.
  99. Kent, Martin; Laflamme, Jr., Arthur H; Wallace, Jay; Hamelin, Thomas, Processing system and method for treating a substrate.
  100. Yamasaki Shigeru,JPX ; Okumura Masahiko,JPX, Projection exposure apparatus and method.
  101. Nishi Kenji (Kawasaki JPX), Projection exposure apparatus having an off-axis alignment system and method of alignment therefor.
  102. Fondurulia, Kyle; Shero, Eric J; Verghese, Mohith; White, Carl L, Reactant source vessel.
  103. deBoer Wiebe B. (Kromme Molenweg 10 5521 GB Eersel OR NLX) Ozias Albert E. (7515 Poet Rd. ; S.E. Aumsville OR 97325), Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment.
  104. Shelnut, James G.; Merricks, David; Dutkewych, Oleh B.; Shipley, Charles R., Seed layer deposition.
  105. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductor films.
  106. Disel Jimmy D., Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles.
  107. Raisanen, Petri; Givens, Michael; Verghese, Mohith, Semiconductor device dielectric interface layer.
  108. Shamouilian, Shamouil; Lai, Canfeng; Cox, Michael Santiago; Krishnaraj, Padmanabhan; Tanaka, Tsutomu; Raoux, Sebastien; Porshnev, Peter I.; Nowak, Thomas, Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas.
  109. Kang, Sang-bom; Lim, Hyun-seok; Chae, Yung-sook; Jeon, In-sang; Choi, Gil-heyun, Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors.
  110. Menser, Jr., Clayton David; Gilfford, Jeffrey Paul, Semiconductor manufacturing process monitoring.
  111. Patalay, Kailash K.; Metzner, Craig; Carlson, David K., Semiconductor process chamber vision and monitoring system.
  112. Guldi, Richard L.; Melcher, Keith W.; Williston, John, Semiconductor wafer edge marking.
  113. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  114. Iizuka, Hachishiro, Shower head and plasma processing apparatus having same.
  115. Lindfors, Sven; Soininen, Pekka Juha, Showerhead assembly and ALD methods.
  116. Arai, Takeshi; Honma, Tadaaki; Habuka, Hitoshi, Silicon epitaxial wafer and production method therefor.
  117. Kumagai, Akira; Ishibashi, Keiji; Mori, Shigeru, Silicon oxide film formation method.
  118. Tomiyasu, Shizuo; Tokudome, Kohichi; Haga, Kenichi, Solid organometallic compound-filled container and filling method thereof.
  119. Tomiyasu, Shizuo; Tokudome, Kohichi; Haga, Kenichi, Solid organometallic compound-filled container and filling method thereof.
  120. Lindfors, Sven; von Zweygbergk, Jan; Kukkonen, Marko J., Source chemical container assembly.
  121. Adomaitis, Raymond A.; Kidder, Jr., John N.; Rubloff, Gary W., Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation.
  122. Shibazaki,Yuichi, Stage device with frame-shaped member movable in at least three degrees of freedom within a two-dimensional plane.
  123. Shero,Eric J.; Givens,Michael E.; Schmidt,Ryan, Sublimation bed employing carrier gas guidance structures.
  124. Goto, Shigehiro; Matsuchika, Keiji; Morita, Akihiko, Substrate heat treatment apparatus.
  125. Satoshi Okada JP; Michiko Nishiwaki JP; Katsuhisa Kasanami JP; Eisuke Nishitani JP, Substrate processing apparatus and semiconductor device producing method.
  126. Shibagaki, Masami; Kurematsu, Yasumi, Substrate supporting/transferring tray.
  127. Shibagaki, Masami; Kurematsu, Yasumi, Substrate supporting/transferring tray.
  128. Metzner, Craig R.; Kher, Shreyas S.; Han, Shixue, System and method for forming a gate dielectric.
  129. Tuominen, Marko; Shero, Eric; Verghese, Mohith, System for controlling the sublimation of reactants.
  130. Tuominen, Marko; Shero, Eric; Verghese, Mohith, System for controlling the sublimation of reactants.
  131. Raisanen, Petri; Sung-hoon, Jung; Mohith, Verghese, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  132. Shero, Eric J.; Raisanen, Petri I.; Jung, Sung-Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  133. Shero, Eric; Raisanen, Petri I.; Jung, Sung Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species.
  134. Lubomirsky Dmitry ; D'ambra Allen I. ; Floyd Edward L ; Liang Qiwei ; Hoffman Daniel J ; Fuentes Victor H ; Yavelberg Simon ; Chen Jerry C, Temperature control system for process chamber.
  135. Kholodenko Arnold ; Lee Ke Ling ; Shendon Maya ; Quiles Efrain, Temperature control system for semiconductor process chamber.
  136. Vellore, Kim; Liang, Qiwei; Polar, Erwin, Temperature controlled chamber.
  137. MacCracken Thomas G. (Van Alstyne TX) MacCracken Janet (Van Alstyne TX) MacCracken Richard E. (Dallas TX) Rice Millard B. (Plano TX), Temperature controlled insulation system.
  138. Tsukamoto, Yuji; Strang, Eric J., Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system.
  139. Nguyen, Vuong P.; Sims, Richard E.; Zhu, Xiaoguang, Thermal process station with heated lid.
  140. Kurara,Hironobu; Sonobe,Muneaki; Enomoto,Shinichi, Unit for varying a temperature of a test piece and testing instrument incorporating same.
  141. McMenamin Joseph C. (Fresno CA), Vapor mass flow control system.
  142. McMenamin Joseph C. (Oceanside CA), Vapor mass flow control system.
  143. Bertone Daniele (Torino ITX), Vapour generator for chemical vapour deposition systems.
  144. Sato Mitsuya (Yokohama JPX) Imai Shunzo (Yamato JPX) Hiraga Ryozo (Kanaga JPX), Wafer handling apparatus and method.
  145. Edelstein Sergio ; Chen Steven A. ; Parkhe Vijay D., Wafer heater assembly.
  146. Kawamura,Keisuke; Sasaki,Tsutomu; Matsumura,Atsuki; Ikari,Atsushi; Hamaguchi,Isao; Inoue,Yoshiharu; Tanaka,Koki; Hayashi,Shunichi, Wafer holding, wafer support member, wafer boat and heat treatment furnace.
  147. Li Shih-Hung ; Vass Curtis, Wafer out-of-pocket detection method.
  148. Guy T. Blalock ; Hugh E. Stroupe ; Brian F. Gordon, Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing.
  149. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.

이 특허를 인용한 특허 (71)

  1. Ootsuka, Fumio, 3D stacked multilayer semiconductor memory using doped select transistor channel.
  2. Marquardt, David; Shugrue, John, Apparatus and method for calculating a wafer position in a processing chamber under process conditions.
  3. Oosterlaken, Theodorus; de Ridder, Chris; Jdira, Lucian, Apparatus and method for manufacturing a semiconductor device.
  4. Kamiya, Tatsuo, Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum.
  5. den Hartog Besselink, Edwin; Garssen, Adriaan; Dirkmaat, Marco, Cassette holder assembly for a substrate cassette and holding member for use in such assembly.
  6. Halpin, Michael; Shero, Eric; White, Carl; Alokozai, Fred; Winkler, Jerry; Dunn, Todd, Chamber sealing member.
  7. Zaitsu, Masaru; Fukazawa, Atsuki; Fukuda, Hideaki, Continuous process incorporating atomic layer etching.
  8. Raisanen, Petri; Shero, Eric; Haukka, Suvi; Milligan, Robert Brennan; Givens, Michael Eugene, Deposition of metal borides.
  9. Zhu, Chiyu; Shrestha, Kiran; Haukka, Suvi, Deposition of metal borides.
  10. Milligan, Robert Brennan, Formation of boron-doped titanium metal films with high work function.
  11. Hawkins, Mark; Halleck, Bradley Leonard; Kirschenheiter, Tom; Hossa, Benjamin; Pottebaum, Clay; Miskys, Claudio, Gas distribution system, reactor including the system, and methods of using the same.
  12. Yednak, III, Andrew M.; Pettinger, Jr., Frederick L., Heater jacket for a fluid line.
  13. Shugrue, John; Moen, Ron, Lockout tagout for semiconductor vacuum valve.
  14. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  15. Pore, Viljami, Method and apparatus for filling a gap.
  16. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Aerde, Steven R. A.; Haukka, Suvi; Fukuzawa, Atsuki; Fukuda, Hideaki, Method and apparatus for filling a gap.
  17. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Der Star, Gido; Suzuki, Toshiya, Method and apparatus for filling a gap.
  18. Tolle, John; Hill, Eric; Winkler, Jereld Lee, Method and system for in situ formation of gas-phase compounds.
  19. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  20. Winkler, Jereld Lee, Method and systems for in-situ formation of intermediate reactive species.
  21. Suemori, Hidemi, Method for depositing dielectric film in trenches by PEALD.
  22. Kang, DongSeok, Method for depositing thin film.
  23. Takamure, Noboru; Okabe, Tatsuhiro, Method for forming Ti-containing film by PEALD using TDMAT or TDEAT.
  24. Shiba, Eiichiro, Method for forming aluminum nitride-based film by PEALD.
  25. Winkler, Jereld Lee, Method for forming conformal carbon films, structures conformal carbon film, and system of forming same.
  26. Fukazawa, Atsuki, Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition.
  27. Fukazawa, Atsuki; Fukuda, Hideaki; Takamure, Noboru; Zaitsu, Masaru, Method for forming dielectric film in trenches by PEALD using H-containing gas.
  28. Kimura, Yosuke; de Roest, David, Method for forming film having low resistance and shallow junction depth.
  29. Ishikawa, Dai; Fukazawa, Atsuki, Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches.
  30. Namba, Kunitoshi, Method for forming silicon oxide cap layer for solid state diffusion process.
  31. Shiba, Eiichiro, Method for performing uniform processing in gas system-sharing multiple reaction chambers.
  32. Yamagishi, Takayuki; Suwada, Masaei; Tanaka, Hiroyuki, Method for positioning wafers in multiple wafer transport.
  33. Kato, Richika; Nakano, Ryu, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  34. Kato, Richika; Okuro, Seiji; Namba, Kunitoshi; Nonaka, Yuya; Nakano, Akinori, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  35. Haukka, Suvi; Shero, Eric James; Alokozai, Fred; Li, Dong; Winkler, Jereld Lee; Chen, Xichong, Method for treatment of deposition reactor.
  36. Zaitsu, Masaru, Method of atomic layer etching using functional group-containing fluorocarbon.
  37. Zaitsu, Masaru; Kobayashi, Nobuyoshi; Kobayashi, Akiko; Hori, Masaru; Kondo, Hiroki; Tsutsumi, Takayoshi, Method of cyclic dry etching using etchant film.
  38. Knaepen, Werner; Maes, Jan Willem; Jongbloed, Bert; Kachel, Krzysztof Kamil; Pierreux, Dieter; De Roest, David Kurt, Method of forming a structure on a substrate.
  39. Lee, Choong Man; Yoo, Yong Min; Kim, Young Jae; Chun, Seung Ju; Kim, Sun Ja, Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method.
  40. Chun, Seung Ju; Yoo, Yong Min; Choi, Jong Wan; Kim, Young Jae; Kim, Sun Ja; Lim, Wan Gyu; Min, Yoon Ki; Lee, Hae Jin; Yoo, Tae Hee, Method of processing a substrate and a device manufactured by using the method.
  41. Kohen, David; Profijt, Harald Benjamin, Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures.
  42. Raisanen, Petri; Givens, Michael Eugene, Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures.
  43. Tolle, John, Methods of forming films including germanium tin and structures and devices including the films.
  44. Margetis, Joe; Tolle, John, Methods of forming highly p-type doped germanium tin films and structures and devices including the films.
  45. Margetis, Joe; Tolle, John, Methods of forming silicon germanium tin films and structures and devices including the films.
  46. Zhu, Chiyu; Asikainen, Timo; Milligan, Robert Brennan, NbMC layers.
  47. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  48. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Process for forming a film on a substrate using multi-port injection assemblies.
  49. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  50. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  51. Winkler, Jereld Lee, Pulsed remote plasma method and system.
  52. Shero, Eric; Halpin, Michael; Winkler, Jerry, Radiation shielding for a substrate holder.
  53. Zhu, Chiyu, Selective film deposition method to form air gaps.
  54. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  55. Raisanen, Petri; Givens, Michael; Verghese, Mohith, Semiconductor device dielectric interface layer.
  56. Shero, Eric; Verghese, Mohith E.; White, Carl L.; Terhorst, Herbert; Maurice, Dan, Semiconductor processing reactor and components thereof.
  57. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  58. Xie, Qi; Machkaoutsan, Vladimir; Maes, Jan Willem, Semiconductor structure and device and methods of forming same using selective epitaxial process.
  59. Arai, Izumi, Single-and dual-chamber module-attachable wafer-handling chamber.
  60. Xie, Qi; de Roest, David; Woodruff, Jacob; Givens, Michael Eugene; Maes, Jan Willem; Blanquart, Timothee, Source/drain performance through conformal solid state doping.
  61. Weeks, Keith Doran, Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same.
  62. Tolle, John, Structures and devices including germanium-tin films and methods of forming same.
  63. Jeong, Sang Jin; Han, Jeung Hoon; Choi, Young Seok; Park, Ju Hyuk, Susceptor for semiconductor substrate processing apparatus.
  64. Dunn, Todd; Alokozai, Fred; Winkler, Jerry; Halpin, Michael, Susceptor heater and method of heating a substrate.
  65. Dunn, Todd; White, Carl; Halpin, Michael; Shero, Eric; Winkler, Jerry, Susceptor heater shim.
  66. Tang, Fu; Givens, Michael Eugene; Xie, Qi; Raisanen, Petri, System and method for gas-phase sulfur passivation of a semiconductor surface.
  67. Lawson, Keith R.; Givens, Michael E., Systems and methods for dynamic semiconductor process scheduling.
  68. Sarin, Michael Christopher; Mendez, Rafael; Bartlett, Gregory M.; Hill, Eric; Lawson, Keith R.; Rosser, Andy, Systems and methods for mass flow controller verification.
  69. Coomer, Stephen Dale, Variable adjustment for precise matching of multiple chamber cavity housings.
  70. Shugrue, John Kevin, Variable conductance gas distribution apparatus and method.
  71. Schmotzer, Michael; Whaley, Shawn, Variable gap hard stop design.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로