$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Selective titanium nitride removal

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/3213
  • H01L-021/48
  • H01L-021/28
  • H01L-021/3065
  • H01J-037/32
출원번호 US-0908107 (2013-06-03)
등록번호 US-9040422 (2015-05-26)
발명자 / 주소
  • Wang, Xikun
  • Wang, Anchuan
  • Ingle, Nitin K.
  • Lubomirsky, Dmitry
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Kilpatrick Townsend & Stockton LLP
인용정보 피인용 횟수 : 93  인용 특허 : 421

초록

Methods are described herein for selectively etching titanium nitride relative to dielectric films, which may include, for example, alternative metals and metal oxides lacking in titanium and/or silicon-containing films (e.g. silicon oxide, silicon carbon nitride and low-K dielectric films). The met

대표청구항

1. A method of etching a patterned substrate in a substrate processing region of a substrate processing chamber, wherein the patterned substrate has an exposed titanium nitride region and an exposed second material region, the method comprising: flowing a chlorine-containing precursor and a carbon-a

이 특허에 인용된 특허 (421)

  1. David T. Or ; Keith K. Koai ; Fufa Chen ; Lawrence C. Lei, 300 mm CVD chamber design for metal-organic thin film deposition.
  2. Shacham Yosef Y. (Ithaca NY) Bielski Roman (Ithaca NY), Alkaline free electroless deposition.
  3. Kellam Mark, Aluminum-palladium alloy for initiation of electroless plating.
  4. Lory Earl R. (Pennington NJ) Olmer Leonard J. (Austin TX), Anisotropic deposition of silicon dioxide.
  5. Hayashi Shigenori (Kanagawa JPX) Yamazaki Shunpei (Tokyo JPX), Apparatus and method for depositing a film.
  6. Kao Yeh-Jen ; Chang Fong M. ; Majewski Robert B. ; Parks John ; Wanamaker David ; Wang Yen-Kun, Apparatus and method for depositing low K dielectric materials.
  7. Chen LinLin, Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece.
  8. Hu Albert ; Furman Burford J. ; Abushaban Mohamed, Apparatus and method for polishing a flat surface using a belted polishing pad.
  9. Mardian, Allen P.; Rodriguez, Santiago R., Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes.
  10. Cheung Robin ; Sinha Ashok ; Tepman Avi ; Carl Dan, Apparatus for electro-chemical deposition with thermal anneal chamber.
  11. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  12. Shioya Yoshimi (Yokohama JPX) Maeda Mamoru (Tama JPX) Ohyama Yasushi (Kodaira JPX) Takagi Mikio (Kawasaki JPX), Apparatus for plasma chemical vapor deposition.
  13. Gadgil Prashant ; Flanner Janet M. ; Jordan John P. ; Doe Adrian ; Chebi Robert, Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer.
  14. Knowles Steven C. (Seattle WA) Kull Alan E. (Seattle WA) Butler George W. (Seattle WA) King David O. (Woodinville WA), Apparatus for synthesizing diamond films utilizing an arc plasma.
  15. Gilchrist Robin ; Wilhoit Michael S., Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment.
  16. Draeger, Nerissa; te Nijenhuis, Harald; Meinhold, Henner; van Schravendijk, Bart; Nittala, Lakshmi, Atomic layer removal process with higher etch amount.
  17. Lesinski S. George ; Neukermans Armand P. ; Neukermans Christopher P., Attaching an implantable hearing aid microactuator.
  18. Yoon, Ki Hwan; Cha, Yonghwa Chris; Yu, Sang Ho; Ahmad, Hafiz Farooq; Wee, Ho Sun, Barrier formation using novel sputter deposition method with PVD, CVD, or ALD.
  19. Janakiraman, Karthik; Suarez, Edwin C., Blocker plate by-pass for remote plasma clean.
  20. America William G. (Newtown CT) Poole Richard R. (Norwalk CT), Boron nutride membrane in wafer structure.
  21. Yeap Geoffrey Choh-Fei ; Xiang Qi ; Lin Ming-Ren, CMOS optimization method utilizing sacrificial sidewall spacer.
  22. Zhao Jun (Milpitas CA) Cho Tom (San Francisco CA) Dornfest Charles (Fremont CA) Wolff Stefan (Sunnyvale CA) Fairbairn Kevin (Saratoga CA) Guo Xin S (Mountain View CA) Schreiber Alex (Santa Clara CA) , CVD Processing chamber.
  23. Lang, Chi-I; Huang, Judy H.; Barnes, Michael; Shanker, Sunil, CVD flowable gap fill.
  24. Chen Ling ; Ganguli Seshadri ; Zheng Bo ; Wilson Samuel ; Marcadal Christophe, CVD method of depositing copper films by using improved organocopper precursor blend.
  25. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, CVD of silicon oxide using TEOS decomposition and in-situ planarization process.
  26. Brummett Charles Roscoe (Harrisburg PA) Shaak Ray Ned (Lebanon PA) Andrews Daniel Marshall (Harrisburg PA), Catalyst for electroless deposition of metals.
  27. Brummett Charles Roscoe (Harrisburg PA) Shaak Ray Ned (Lebanon PA) Andrews Daniel Marshall (Harrisburg PA), Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates.
  28. Stevens Joe, Cathode contact ring for electrochemical deposition.
  29. Lei Lawrence C. (Cupertino CA) Perlov Ilya (Santa Clara CA) Littau Karl A. (Sunnyvale CA) Morrison Alan F. (San Jose CA) Chang Mei (Cupertino CA) Sinha Ashok K. (Palo Alto CA), Chemical vapor deposition chamber with a purge guide.
  30. Littau Karl ; Lei Lawrence Chung-lai, Chemical vapor deposition chamber with substrate edge protection.
  31. Homma Tetsuya (Tokyo JPX), Chemical vapor deposition method for forming fluorine containing silicon oxide film.
  32. Sinha Ashok ; Chang Mei ; Perlov Ilya ; Littau Karl A. ; Morrison Alan F. ; Lei Lawrence Chung-Lai, Chemical vapor deposition of a thin film onto a substrate.
  33. Parkhe, Vijay D.; Hausmann, Gilbert; Kalyanam, Jagadish, Chemical vapor deposition of barriers from novel precursors.
  34. Russell Kathleen (Santa Clara CA) Robles Stuardo (Sunnyvale CA) Nguyen Bang C. (Fremont CA) Sivaramakrishnan Visweswaren (Cupertino CA), Chemical vapor deposition reactor system and integrated circuit.
  35. Sandhu Gurtej S. ; Fazan Pierre, Chemical vapor deposition using organometallic precursors.
  36. Mahawili, Imad, Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication.
  37. Sambucetti, Carlos Juan; Chen, Xiaomeng; Seo, Soon-Cheon; Agarwala, Birenda Nath; Hu, Chao-Kun; Lustig, Naftali Eliahu; Greco, Stephen Edward, Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect.
  38. Brusic Vlasta A. ; Marino Jeffrey Robert ; O'Sullivan Eugene John ; Sambucetti Carlos Juan ; Schrott Alejandro Gabriel ; Uzoh Cyprian Emeka, Cobalt-tin alloys and their applications for devices, chip interconnections and packaging.
  39. Sricharoenchaikit Prasit (Millis) Calabrese Gary S. (North Andover) Gulla Michael (Millis MA), Controlled electroless plating.
  40. Grant Robert W. (Allenstown PA) Ruzyllo Jerzy (State College PA) Torek Kevin (State College PA), Controlled etching of oxides via gas phase reactions.
  41. Krishnamoorthy Ahila ; Duquette David J. ; Murarka Shyam P., Copper alloy electroplating bath for microelectronic applications.
  42. Liu Chung-Shi,TWX ; Chang Chung-Long,TWX ; Yu Chen-Hua,TWX, Copper chemical-mechanical-polishing (CMP) dishing.
  43. Hsiung Chiung-Sheng,TWX ; Hsieh Wen-Yi,TWX ; Lur Water,TWX, Copper damascene technology for ultra large scale integration circuits.
  44. Lee Chwan-Ying,TWX ; Huang Tzuen-Hsi,TWX, Copper metallization of USLI by electroless process.
  45. Strikovski,Mikhail, Cylindrical electron beam generating/triggering device and method for generation of electrons.
  46. Jang Syun-Ming (Hsin-Chu TWX) Yu Chen-Hua (Keelung City TWX), Deposit-etch-deposit ozone/teos insulator layer method.
  47. Nidola Antonio (Milan ITX) Martelli Gian N. (Milan ITX), Deposition of catalytic electrodes on ion-exchange membranes.
  48. Zheng Bo ; Chen Ling ; Mak Alfred ; Chang Mei, Deposition of copper with increased adhesion.
  49. Lane Andrew P. (Westminster TX) Webb Douglas A. (Allen TX) Frederick Gene R. (Mesquite TX), Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride.
  50. Zhang,Lin; Chen,Xiaolin; Li,DongQing; Pham,Thanh N; Moghadam,Farhad K; Li,Zhuang; Krishnaraj,Padmanabhan, Deposition-selective etch-deposition process for dielectric film gapfill.
  51. Chau, Robert S.; Andideh, Ebrahim; Taylor, Mitch C.; Jan, Chia-Hong; Tsai, Julie, Device structure and method for reducing silicide encroachment.
  52. Choi, Soo Young; White, John M., Diffuser plate with slit valve compensation.
  53. James A. Cunningham, Diffusion barriers for copper interconnect systems.
  54. Wesley Natzle ; Richard A. Conti ; Laertis Economikos ; Thomas Ivers ; George D. Papasouliotis, Directional CVD process with optimized etchback.
  55. Xu, Zhi, Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage.
  56. Douglas Monte A. (Coppell TX), Dry etch of phosphosilicate glass with selectivity to undoped oxide.
  57. Kadomura Shingo (Kanagawa JPX), Dry etching method.
  58. Palmour John W. (Raleigh NC), Dry etching of silicon carbide.
  59. Palmour John W. (Raleigh NC), Dry etching of silicon carbide.
  60. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Dry-etch for silicon-and-nitrogen-containing films.
  61. Edelstein Daniel C. ; Dalton Timothy J. ; Gaudiello John G. ; Krishnan Mahadevaiyer ; Malhotra Sandra G. ; McGlashan-Powell Maurice ; O'Sullivan Eugene J. ; Sambucetti Carlos J., Dual etch stop/diffusion barrier for damascene interconnects.
  62. Srinivas Nemani ; Li-Qun Xia ; Ellie Yieh, Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers.
  63. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  64. Krogh Ole D. (110 Point Lobos Ave. San Francisco CA 94121), ECR plasma source for remote processing.
  65. Stevens, Joe; Olgado, Donald; Ko, Alex; Mok, Yeuk-Fai Edwin, Edge bead removal/spin rinse dry (EBR/SRD) module.
  66. Landau Uziel ; D'Urso John J. ; Rear David B., Electro deposition chemistry.
  67. Yezdi Dordi ; Joe Stevens ; Roy Edwards ; Bob Lowrance ; Michael Sugarman ; Mark Denome, Electro-chemical deposition cell for face-up processing of single semiconductor substrates.
  68. Dordi Yezdi ; Olgado Donald J. ; Morad Ratson ; Hey Peter ; Denome Mark ; Sugarman Michael ; Lloyd Mark ; Stevens Joseph ; Marohl Dan ; Shin Ho Seon ; Ravinovich Eugene ; Cheung Robin ; Sinha Ashok K, Electro-chemical deposition system.
  69. Maclay G. Jordan ; Keyvani Darioush ; Lee Sung B.,KRX, Electrochemical sensors for gas detection.
  70. Dubin Valery M. ; Shacham-Diamand Yosef ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K., Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications.
  71. Shacham-Diamand Yosi ; Dubin Valery M. ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K., Electroless deposition equipment or apparatus and method of performing electroless deposition.
  72. Shacham-Diamand Yosi ; Nguyen Vinh ; Dubin Valery, Electroless deposition of metal films with spray processor.
  73. McConnell Christopher F. ; Verhaverbeke Steven, Electroless metal deposition of electronic components in an enclosable vessel.
  74. Uchida Hiroki,JPX ; Kiso Masayuki,JPX ; Nakamura Takayuki,JPX ; Kamitamari Tohru,JPX ; Susuki Rumiko,JPX ; Shimizu Koichiro,JPX, Electroless nickel plating solution and method.
  75. Mallory ; Jr. Glenn O. (Inglewood CA), Electroless nickel polyalloys.
  76. Inoue, Hiroaki; Nakamura, Kenji; Matsumoto, Moriji, Electroless plating liquid and semiconductor device.
  77. Semkow Krystyna W. ; O'Sullivan Eugene J., Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating.
  78. Bengston Jon E. (Newington CT), Electroless plating of nickel onto surfaces such as copper or fused tungston.
  79. Cane Frank N. (3058 Plumstead Way San Jose CA 95148), Electroless plating process for the manufacture of printed circuit boards.
  80. Mallory ; Jr. Glenn O. (Los Angeles CA), Electrolessly plated product having a polymetallic catalytic film underlayer.
  81. Jozef Brcka, Embedded plasma source for plasma density improvement.
  82. Lam,Andrew; Kim,Yihwan; Kuppurao,Satheesh; Phan,See Eng; Lu,Xinliang; Kao,Chien Teh, Epitaxial deposition process and apparatus.
  83. Jingbao Liu ; Judy Wang ; Takehiko Komatsu ; Bryan Y Pu ; Kenny L Doan ; Claes Bjorkman ; Melody Chang ; Yunsang Kim ; Hongching Shan ; Ruiping Wang, Etch method using a dielectric etch chamber with expanded process window.
  84. Boiteux, Yves Pierre; Chen, Hui; Gregoratto, Ivano; Hsieh, Chang-Lin; Hung, Hoiman; Tang, Sum-Yee Betty, Etch process for dielectric materials comprising oxidized organo silane materials.
  85. Yoshikawa Stephanie A. ; Catabay Wilbur G., Etch process selective to cobalt silicide for formation of integrated circuit structures.
  86. Barnes Michael S. (San Francisco CA) Keller John H. (Poughkeepsie NY) Holber William M. (Boston MA) Cotler Tina J. (Newburgh NY) Chapple-Sokol Jonathan D. (Poughkeepsie NY) Podlesnik Dragan (New York, Etching of silicon dioxide selectively to silicon nitride and polysilicon.
  87. Doklan Raymond H. (Whitehall Township ; Lehigh County PA) Martin ; Jr. Edward P. (Bethlehem PA) Roy Pradip K. (Allentown PA) Shive Scott F. (Bethlehem PA) Sinha Ashok K. (Allentown PA), Fabricating a semiconductor device with low defect density oxide.
  88. Yuichi Wada JP; Hiroyuki Yarita JP; Hisashi Aida JP; Naomi Yoshida JP, Film deposition method and apparatus.
  89. Sakamoto, Hitoshi; Ueda, Noriaki; Sugino, Takashi, Film forming method and film forming device.
  90. Ronald A. Weimer ; John T. Moore, Films doped with carbon for use in integrated circuit technology.
  91. Hilgendorff Walter,DEX ; Kahn Gerhard,DEX ; Jordt Frauke,DEX, Filtration apparatus having channeled flow guide elements.
  92. John M. White ; Ernst Keller ; Wendell T. Blonigan, Flexibly suspended gas distribution manifold for plasma chamber.
  93. White, John M.; Keller, Ernst; Blonigan, Wendell T., Flexibly suspended gas distribution manifold for plasma chamber.
  94. Michael A. Todd, Fluorine-containing materials and processes.
  95. Homma Tetsuya (Tokyo JPX) Suzuki Mieko (Tokyo JPX), Forming multi-layered interconnections with fluorine compound treatment permitting selective deposition of insulator.
  96. Bencher, Christopher D.; Horioka, Keiji, Frequency doubling using spacer mask.
  97. Bayman, Atiye; Rahman, Md Sazzadur; Zhang, Weijie; van Schravendijk, Bart; Gauri, Vishal; Papasoulitotis, George D.; Singh, Vikram, Gap fill for high aspect ratio structures.
  98. Soo Kwon, Young; Jang, Bi; Wang, Anchuan; Lee, Young S.; Balseanu, Mihaela; Xia, Li-Qun; Jeon, Jin Ho, Gapfill improvement with low etch rate dielectric liners.
  99. Michael Kwan ; Eric Liu, Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD.
  100. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  101. Ballance David S. ; Bierman Benjamin ; Tietz James V., Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween.
  102. van de Ven Everhardus P. (Cupertino CA) Broadbent Eliot K. (San Jose CA) Benzing Jeffrey C. (San Jose CA) Chin Barry L. (Sunnyvale CA) Burkhart Christopher W. (San Jose CA), Gas-based substrate protection during processing.
  103. Blackwood Robert S. (Lubbock TX) Biggerstaff Rex L. (Lubbock TX) Clements L. Davis (Lincoln NE) Cleavelin C. Rinn (Lubbock TX), Gaseous process and apparatus for removing films from substrates.
  104. Nassau Kurt ; Coleman Thomas G. ; Hunter Charles Eric, Gemstones formed of silicon carbide with diamond coating.
  105. Chistyakov, Roman, Generation of uniformly-distributed plasma.
  106. Li, Dongqing; Chen, Xiaolin C.; Zhang, Lin, HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features.
  107. Karim,M. Ziaul; Kapoor,Bikram; Wang,Anchuan; Li,Dong Qing; Ozeki,Katsunari; Vellaikal,Manoj; Li,Zhuang, HDP-CVD multistep gapfill process.
  108. Semyon Sherstinsky ; Alison Gilliam ; Paul Smith ; Leonel A. Zuniga ; Ted Yoshidome ; Nitin Khurana ; Rod Mosely ; Umesh Madhav Kelkar ; Joseph Yudovsky ; Alan Popiolkowski, Heater for processing chamber.
  109. Zhao Jun ; Sajoto Talex ; Selyutin Leonid, Heater for use in substrate processing apparatus to deposit tungsten.
  110. Yudovsky, Joseph, Heater with detachable ceramic top plate.
  111. Van Cleemput Patrick A. ; Mountsier Thomas W., High aspect ratio gapfill process by using HDP.
  112. Ahmad, Farhan; Awdshiew, Michael; Jain, Alok; Kapoor, Bikram, High density plasma CVD process for gapfill into high aspect ratio features.
  113. Lin Chi-Fa,TWX, High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing proces.
  114. Narwankar Pravin ; Murugesh Laxman ; Sahin Turgut ; Orczyk Maciek ; Qiao Jianmin, High deposition rate recipe for low dielectric constant films.
  115. Salvador P. Umotoy ; Steve H. Chiao ; Anh N. Nguyen ; Be V. Vo ; Joel Huston ; James J. Chen ; Lawrence Chung-Lai Lei, High temperature chemical vapor deposition chamber.
  116. Sajoto Talex ; Selyutin Leonid ; Zhao Jun ; Wolff Stefan, High temperature multi-layered alloy heater assembly and related methods.
  117. Papasouliotis George D. ; Chakravarti Ashima B. ; Conti Richard A. ; Economikos Laertis ; Van Cleemput Patrick A., High throughput chemical vapor deposition process capable of filling high aspect ratio structures.
  118. Chebi Robert P. (Austin TX) Mittal Sanjiv (Fremont CA), High throughput interlevel dielectric gap filling process.
  119. Nam,Jeong Hoon; Jeon,Jin Ho, High-density plasma (HDP) chemical vapor deposition (CVD) methods and methods of fabricating semiconductor devices employing the same.
  120. Papasouliotis, George D.; Gauri, Vishal; Tarafdar, Raihan M.; Singh, Vikram, High-density plasma process for filling high aspect ratio structures.
  121. Kapoor, Bikram; Karim, M. Ziaul; Wang, Anchuan, Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology.
  122. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  123. Krishnaraj, Padmanabhan; Ionov, Pavel; Lai, Canfeng; Cox, Michael Santiago; Shamouilian, Shamouil, In situ application of etch back for improved deposition into high-aspect-ratio features.
  124. Beinglass Israel (Sunnyvale CA), In situ method for cleaning silicon surface and forming layer thereon in same chamber.
  125. Cheung Robin ; Carl Daniel A. ; Dordi Yezdi ; Hey Peter ; Morad Ratson ; Chen Liang-Yuh ; Smith Paul F. ; Sinha Ashok K., In-situ electroless copper seed layer enhancement in an electroplating system.
  126. Dietze, Gerald R., In-situ post epitaxial treatment process.
  127. Min,Kyu S.; Karpenko,Oleh P., In-situ sequential high density plasma deposition and etch processing for gap fill.
  128. Karim, M. Ziaul; Li, DongQing; Byun, Jeong Soo; Pham, Thanh N., In-situ-etch-assisted HDP deposition using SiF4 and hydrogen.
  129. Pan, Shaoher X.; Hanawa, Hiroji; Forster, John C.; Chen, Fusen, Inductive plasma loop enhancing magnetron sputtering.
  130. Redeker Fred C. ; Nowak Romuald ; Ishikawa Tetsuya ; Detrick Troy ; Pinson ; II Jay Dee, Inductively coupled HDP-CVD reactor.
  131. Lakshmikanthan Jayant ; Stevens Joe, Inflatable compliant bladder assembly.
  132. Otsubo Toru (Fujisawa JPX) Yamaguchi Yasuhiro (Chigasaki JPX), Insulating film forming method for semiconductor device interconnection.
  133. Bencher, Christopher Dennis; Tang, Jing, Integral patterning of large features along with array using spacer mask patterning process flow.
  134. Claes H. Bjorkman ; Min Melissa Yu ; Hongquing Shan ; David W. Cheung ; Wai-Fan Yau ; Kuowei Liu ; Nasreen Gazala Chapra ; Gerald Yin ; Farhad K. Moghadam ; Judy H. Huang ; Dennis Yost ; B, Integrated low K dielectrics and etch stops.
  135. Liu, Wei; Williams, Scott; Yuen, Stephen; Mui, David, Integrated shallow trench isolation approach.
  136. Karthik Janakiraman ; Kelly Fong ; Chen-An Chen ; Paul Le ; Rong Pan ; Shankar Venkataraman, Integration of remote plasma generator with semiconductor processing chamber.
  137. Lopatin, Sergey; Wang, Fei; Schonauer, Diana; Avanzino, Steven C., Interconnect structure formed in porous dielectric material with minimized degradation and electromigration.
  138. Simpson Cindy Reidsema, Interconnect structure in a semiconductor device and method of formation.
  139. McInerney Edward J. (Milpitas CA) Dornseif E. Ronald (Scotts Valley CA) Zetterquist Norman E. (Santa Cruz CA), Interlayer dielectric process.
  140. Andideh Ebrahim, Interlayer dielectric with a composite dielectric stack.
  141. Tang, Jing; Ingle, Nitin; Yang, Dongqing; Venkataraman, Shankar, Invertable pattern loading with dry etch.
  142. Sainty, Wayne G, Ion source.
  143. Loewenstein Lee M., Isotropic polysilicon plus nitride stripping.
  144. Wright, Marilyn I.; Bonser, Douglas J.; You, Lu; Hellig, Kay, L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials.
  145. Parrillo Louis C. (Austin TX) Poon Stephen S. (Austin TX), LDD CMOS process.
  146. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  147. Li, Weimin, Low dielectric constant material for integrated circuit fabrication.
  148. Weimin Li, Low dielectric constant material for integrated circuit fabrication.
  149. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Low power method of depositing a low k dielectric with organo silane.
  150. Gambino, Jeffrey P.; Mandelman, Jack; Tonti, William R., Low-K gate spacers by fluorine implantation.
  151. Scott Luning ; David Wu ; Khanh Tran, Low-K sub spacer pocket formation for gate capacitance reduction.
  152. Moslehi Mehrdad M. (Dallas TX), Low-temperature in-situ dry cleaning process for semiconductor wafer.
  153. Moslehi Mehrdad M. (Dallas TX), Low-temperature in-situ dry cleaning process for semiconductor wafers.
  154. Yu Bin, MOS transistor with assisted-gates and ultra-shallow "Psuedo" source and drain extensions for ultra-large-scale integration.
  155. Ward Eric R.,CHX ; Volrath Sandra, Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms.
  156. Sergey D. Lopatin ; Shekhar Pramanick ; Dirk Brown, Manufacturing method for semiconductor metalization barrier.
  157. Yamazaki Shunpei,JPX, Manufacturing method of a semiconductor device.
  158. Yanagida Toshiharu,JPX, Manufacturing method of semiconductor device.
  159. Weidman,Timothy W.; Lubomirsky,Dmitry, Measurement techniques for controlling aspects of a electroless deposition process.
  160. Bardos Ladislav,SEX ; Barankova Hana,SEX ; Berg Soren,SEX, Method and an apparatus for generation of a discharge in own vapors of a radio frequency electrode for sustained self-sp.
  161. Sanchez, Errol Antonio C.; Swenberg, Johanes; Carlson, David K.; Doherty, Roisin L., Method and apparatus for cleaning a substrate surface.
  162. Sanchez, Errol Antonio C.; Swenberg, Johanes; Carlson, David K.; Doherty, Roisin L., Method and apparatus for cleaning a substrate surface.
  163. Mandrekar Tushar ; Tolia Anish ; Khurana Nitin, Method and apparatus for controlling cooling and heating fluids for a gas distribution plate.
  164. Kakehi Yutaka (Hikari JPX) Nakazato Norio (Kudamatsu JPX) Fukushima Yoshimasa (Hikari JPX) Hiratsuka Kousai (Kudamatsu JPX) Shibata Fumio (Kudamatsu JPX) Yamamoto Noriaki (Kudamatsu JPX) Tsubone Tsun, Method and apparatus for controlling sample temperature.
  165. Hosokawa Akihiro (Cupertino CA), Method and apparatus for cooling semiconductor wafers.
  166. Robles Stuardo, Method and apparatus for depositing a multilayered low dielectric constant film.
  167. Hong Soonil ; Ryu Choon Kun ; Nault Michael P. ; Singh Kaushal K. ; Lam Anthony ; Rana Virendra V. S. ; Conners Andrew, Method and apparatus for improving gap-fill capability using chemical and physical etchbacks.
  168. Hong Soonil ; Ryu Choon Kun ; Nault Michael P. ; Singh Kaushal K. ; Lam Anthony ; Rana Virendra V. S. ; Conners Andrew, Method and apparatus for improving gap-fill capability using chemical and physical etchbacks.
  169. Zhang Jie (East Lansing MI) Asmussen Jes (Okemos MI), Method and apparatus for plasma treatment of a surface.
  170. Joseph Yudovsky ; Tom Madar ; Salvador Umotoy ; Son Ngoc Trinh ; Lawrence C. Lei ; Anzhong (Andrew) Chang ; Xiaoxiong (John) Yuan, Method and apparatus for preventing edge deposition.
  171. Huang, Kegang; Huang, Judy H; Xu, Ping, Method and apparatus for treating low k dielectric layers to reduce diffusion.
  172. Vikram Pavate ; Murali Narasimhan, Method and apparatus of forming a sputtered doped seed layer.
  173. Goldstein Rachel (Givataim CT ILX) Kukanskis Peter E. (Watertown CT) Grunwald John J. (New Haven CT), Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence.
  174. Khandan, Shahab; Fulmer, Christopher T.; Washington, Lori D.; Diniz, Herman P.; Scudder, Lance A.; Samoilov, Arkadii V., Method for CVD process control for enhancing device performance.
  175. Gellrich, Norbert; Kirchmann, Rainer, Method for anisotropic plasma-chemical dry etching of silicon nitride layers using a gas mixture containing fluorine.
  176. Kurihara Kazuaki (Atsugi JPX) Sasaki Kenichi (Atsugi JPX) Kawarada Motonobu (Atsugi JPX) Koshino Nagaaki (Yokohama JPX), Method for arc discharge plasma vapor deposition of diamond.
  177. Wu Cherng-Dean (Richfield MN), Method for controlling electroless magnetic plating.
  178. Sutanto,Siswanto; Zhu,Wenxian; Fung,Waikit; Lim,Mayasari; Gauri,Vishal; Papasouliotis,George D., Method for controlling etch process repeatability.
  179. Iyer Ravi ; Vasilyeva Irina, Method for depositing a tungsten layer on silicon.
  180. Fairbairn, Kevin; Rice, Michael; Weidman, Timothy; Ngai, Christopher S; Latchford, Ian Scot; Bencher, Christopher Dennis; Wang, Yuxiang May, Method for depositing an amorphous carbon layer.
  181. Kwok Kurt (Mountain View CA) Robertson Robert (Palo Alto CA), Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity.
  182. Rossman Kent, Method for deposition of a conformal layer on a substrate.
  183. Chen, Linlin; Wilson, Gregory J.; McHugh, Paul R.; Weaver, Robert A.; Ritzdorf, Thomas L., Method for electrochemically depositing metal on a semiconductor workpiece.
  184. Ehrsam Robert ; Raymond John L., Method for electroless nickel plating of metal substrates.
  185. Mui David ; Podlesnik Dragan ; Liu Wei ; Lee Gene ; Kim Nam-Hun ; Chinn Jeff, Method for etching a trench having rounded top and bottom corners in a silicon substrate.
  186. Kawamura Kouhei (Yamanashi JPX), Method for etching an SiO2 film.
  187. Nguyen Son V. (Hopewell Junction NY) Dobuzinsky David M. (Hopewell Junction NY), Method for etching boron nitride.
  188. Yan, Chun; Hsueh, Gary C.; Ye, Yan; Ma, Diana Xiaobing, Method for etching low k dielectrics.
  189. Jucha Rhett B. (Celeste TX) Davis Cecil J. (Greenville TX) Tang Tom (Dallas TX) Loewenstein Lee M. (Plano TX), Method for etching titanium nitride local interconnects.
  190. Liu, Wei; Lill, Thorsten B.; Mui, David S. L.; Bencher, Christopher Dennis, Method for fabricating a gate structure of a field effect transistor.
  191. Homma Tetsuya (Tokyo JPX), Method for fabricating a semiconductor device having a multi-layered interconnection structure.
  192. Dubin Valery ; Ting Chiu, Method for fabricating copper-aluminum metallization.
  193. Bengston Jon E. (Newington CT) Larson Gary B. (Cheshire CT), Method for fabricating printed circuits.
  194. Jung, Woo Chan; Lee, Jong Koo, Method for fabricating semiconductor device and forming interlayer dielectric film using high-density plasma.
  195. Kim Sun Oo,KRX ; Kim Han Min,KRX, Method for fabricating semiconductor devices having an HDP-CVD oxide layer as a passivation layer.
  196. Cheung Robin ; Lopatin Sergey, Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure.
  197. Gwozdz Peter S. (Cupertino CA), Method for filling a trench in an integrated circuit structure without producing voids.
  198. Dubin Valery M., Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure.
  199. Sambucetti Carlos Juan ; Rubino Judith Marie ; Edelstein Daniel Charles ; Cabral ; Jr. Cyryl ; Walker George Frederick ; Gaudiello John G ; Wildman Horatio Seymour, Method for forming Co-W-P-Au films.
  200. Okano Haruo (Tokyo JPX) Noguchi Sadahisa (Fuchu JPX) Sekine Makoto (Yokohama JPX), Method for forming a film on a substrate by activating a reactive gas.
  201. Okano Haruo (Tokyo JPX) Noguchi Sadahisa (Tokyo JPX) Sekine Makoto (Yokohama JPX), Method for forming a film on a substrate by activating a reactive gas.
  202. Sheng David Y. (Austin TX) Kosa Yasunobu (Austin TX) Urquhart Andrew J. (Pflugerville TX) Cullen Mark J. (Austin TX), Method for forming a lightly-doped drain (LDD) structure in a semiconductor device.
  203. Arghavani,Reza; MacWilliams,Ken; M'Saad,Hichem, Method for forming a low thermal budget spacer.
  204. Lin Jiunn-Hsien,TWX ; Kuo Wen-Pin,TWX, Method for forming conductive line.
  205. Tsai Meng-Jin,TWX ; Lur Water,TWX ; Chen Chin-Lai,TWX, Method for forming shallow trench isolation.
  206. Jung, Tae-Woo, Method for forming trench and method for fabricating semiconductor device using the same.
  207. Rogers Steven H. (Midwest City OK) Mundt Randall S. (Colorado Springs CO) Kaya Denise A. (Woodland Park CO), Method for forming trench isolation structures.
  208. Kao,Chien Teh; Chou,Jing Pei (Connie); Lai,Chiukin (Steven); Umotoy,Sal; Huston,Joel M.; Trinh,Son; Chang,Mei; Yuan,Xiaoxiong (John); Chang,Yu; Lu,Xinliang; Wang,Wei W.; Phan,See Eng, Method for front end of line fabrication.
  209. Knorr, Andreas; Seitz, Mihel, Method for high aspect ratio gap fill using sequential HDP-CVD.
  210. Allen Russell D. ; McFeely F. Read ; Noyan Cevdet I. ; Yurkas John J., Method for improving the morphology of refractory metal thin films.
  211. Hey H. Peter W ; Carlson David, Method for in-situ cleaning of native oxide from silicon surfaces.
  212. Abatchev,Mirzafer K.; Sandhu,Gurtej; Tran,Luan; Rericha,William T.; Durcan,D. Mark, Method for integrated circuit fabrication using pitch multiplication.
  213. Lam James ; Hodul David, Method for microwave plasma substrate heating.
  214. Tao Hun-Jan,TWX ; Tsai Chia-Shiung,TWX, Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher.
  215. Chan Lap ; Ng Hou Tee,SGX, Method for planarized interconnect vias using electroless plating and CMP.
  216. Koinuma Hideomi (Tokyo JPX) Shiraishi Tadashi (Kanagawa JPX) Inoue Tohru (Niigaka JPX) Inomata Kiyoto (Kanagawa JPX) Hayashi Shigenori (Kanagawa JPX) Miyanaga Akiharu (Kanagawa JPX) Yamazaki Shunpei , Method for plasma processing and apparatus for plasma processing.
  217. Arghavani,Reza; Kwan,Michael Chiu; Xia,Li Qun; Yim,Kang Sub, Method for producing gate stack sidewall spacers.
  218. Strongin Myron (Center Moriches NY) Ruckman Mark (Middle Island NY) Strongin Daniel (Port Jefferson NY), Method for producing high quality thin layer films on substrates.
  219. Lowrey Tyler A. (Boise ID) Chance Randal W. (Boise ID) Cathey David A. (Boise ID), Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process.
  220. Roche Gregory A. ; Hodul David T. ; Vahedi Vahid, Method for reduction of plasma charging damage during chemical vapor deposition.
  221. Molloy Simon John ; Vitkavage Daniel Joseph, Method for removing etching residues and contaminants.
  222. Max F. Hineman ; Kevin J. Torek, Method for selective etching of oxides.
  223. Kim Sung C. (Boise ID) Meikle Scott (Boise ID), Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP).
  224. Rana Virendra V. S. ; Conners Andrew ; Gupta Anand ; Guo Xin ; Hong Soonil, Method for submicron gap filling on a semiconductor substrate.
  225. Zhao Jun ; Luo Lee ; Jin Xiao Liang, Method for substrate processing with improved throughput and yield.
  226. Ravi Kramadhati V. (Atherton CA) Orczyk Maciek (Cupertino CA), Method for the stabilization of halogen-doped films through the use of multiple sealing layers.
  227. An, Jae-Hyuck, Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices.
  228. Hausmann Gilbert, Method of and apparatus for restoring a support surface in a semiconductor wafer processing system.
  229. Papasouliotis, George D.; Tas, Robert D., Method of chemical modification of structure topography.
  230. Choi, Soo Young; White, John M.; Wang, Qunhua; Park, Beom Soo, Method of controlling the film properties of PECVD-deposited thin films.
  231. Huggins Alan H. ; MacPherson John, Method of customizing integrated circuits by selective secondary deposition of layer interconnect material.
  232. Gaillard, Frederic; Xia, Li-Qun; Lim, Tian-Hoe; Yieh, Ellie; Yau, Wai-Fan; Jeng, Shin-Puu; Liu, Kuowei; Lu, Yung-Cheng, Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition.
  233. Nemani, Srinivas D; Xia, Li-Qun; Sugiarto, Dian; Yieh, Ellie; Xu, Ping; Campana-Schmitt, Francimar; Lee, Jia, Method of depositing dielectric films.
  234. Campana, Francimar; Nemani, Srinivas; Chapin, Michael; Venkataraman, Shankar, Method of depositing low dielectric constant silicon carbide layers.
  235. Chwan-Ying Lee TW; Tzuen-Hsi Huang TW, Method of electroless plating copper on nitride barrier.
  236. Xu, Ping; Xia, Li-Qun; Dworkin, Larry A.; Naik, Mehul, Method of eliminating photoresist poisoning in damascene applications.
  237. Nulty James E. (San Jose CA) Trammel Pamela S. (San Jose CA), Method of etching an oxide layer.
  238. Ye Yan ; Ionov Pavel ; Zhao Allen ; Hsieh Peter Chang-Lin ; Ma Diana Xiaobing ; Yan Chun ; Yuan Jie, Method of etching patterned layers useful as masking during subsequent etching or for damascene structures.
  239. Jimbo Sadayuki ; Ohiwa Tokuhisa ; Mori Haruki,JPX ; Kobayashi Akira,JPX ; Shinmura Tadashi,JPX ; Taniguchi Yasuyuki,JPX, Method of etching silicon nitride film.
  240. Cha Cher Liang,SGX ; See Alex,SGX ; Chan Lap, Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer.
  241. Iizuka, Toshihiro; Yamamoto, Tomoe, Method of fabricating a high dielectric constant metal oxide capacity insulator film using atomic layer CVD.
  242. Wu Shye-Lin,TWX, Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells.
  243. Makowiecki Daniel M. ; Jankowski Alan F., Method of fabricating boron containing coatings.
  244. Shimizu, Akira; Fukuda, Hideaki; Kawano, Baiei; Sato, Kazuo, Method of film deposition using single-wafer-processing type CVD.
  245. Lopatin Sergey ; Achuthan Krishnashree, Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed.
  246. Qian Lingqian (San Jose CA) Schmidt Melvin C. (San Jose CA) Nobinger Glenn L. (Santa Clara CA), Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition.
  247. Narita Tomonori (Tokyo JPX), Method of forming conductive layer including removal of native oxide.
  248. Nogami Takeshi ; Lopatin Sergey ; Joo Young-Chang, Method of forming copper/copper alloy interconnection with reduced electromigration.
  249. Pryor Roger W. (Bloomfield Township MI), Method of forming cubic boron nitride films.
  250. Wilk Glen D. ; Summerfelt Scott R., Method of forming dual metal gate structures or CMOS devices.
  251. Homma Tetsuya (Tokyo JPX), Method of forming silicon oxide film containing fluorine.
  252. Liu Chih-Chien,TWX ; Wu Juan-Yuan,TWX ; Lur Water,TWX ; Sun Shih-Wei,TWX, Method of gap filling.
  253. Yuan, Zheng; Ghanayem, Steve; Thakur, Randhir P. S., Method of increasing the etch selectivity of a contact sidewall to a preclean etchant.
  254. Fulford ; Jr. H. Jim, Method of making IGFETs in densely and sparsely populated areas of a substrate.
  255. Akram Salman ; Futrell John R. C. ; McDonald Steven M., Method of making a metallized recess in a substrate.
  256. Pellerin John G. ; Werner Thomas,DEX, Method of making dual damascene conductive interconnections and integrated circuit device comprising same.
  257. Leu, Jihperng; Thomas, Christopher D., Method of making semiconductor device using an interconnect.
  258. Yamazaki Shunpei,JPX ; Sakama Mitsunori,JPX ; Fukada Takeshi,JPX, Method of manufacturing a semiconductor device.
  259. Bachmann Peter K. (Aachen DEX), Method of manufacturing fluorine-doped optical fibers.
  260. Matsuda Tetsuo (Kanagawa JPX) Okano Haruo (Tokyo JPX), Method of manufacturing semiconductor devices.
  261. Nishiyama Yukio (Yokohama JPX) Nakata Rempei (Kawasaki JPX) Hayasaka Nobuo (Yokosuka JPX) Okano Haruo (Tokyo JPX) Aoki Riichirou (Tokyo JPX) Nagamatsu Takahito (Kawasaki JPX) Satoh Akemi (Sagamihara , Method of manufacturing silicon oxide film containing fluorine.
  262. Lopatin Sergey ; Nogami Takeshi ; Pramanik Shekhar, Method of metal/polysilicon gate formation in a field effect transistor.
  263. Xu, Songlin; Holland, John; Qian, Xueyu, Method of operating a dual chamber reactor with neutral density decoupled from ion density.
  264. Nishino Hirotaka (Yokohama JPX) Hayasaka Nobuo (Yokohama JPX) Okano Haruo (Tokyo JPX), Method of oxide etching with condensed plasma reaction product.
  265. Nasser-Faili Firooz ; Herb John A. ; Monreno Miguel A., Method of polishing CVD diamond films by oxygen plasma.
  266. Mallory ; Jr. Glenn O. (Los Angeles CA), Method of preparing substrate surface for electroless plating and products produced thereby.
  267. Chen, Huajie; Mocuta, Dan M.; Murphy, Richard J.; Bedell, Stephan W.; Sadana, Devendra K., Method of preventing surface roughening during hydrogen prebake of SiGe substrates.
  268. Chung,Seung pil; Chang,Kyu whan; Lee,Sun jung; Lee,Kun tack; Park,Im soo; Lee,Kwang wook; Lee,Moon hee, Method of removing oxide layer and semiconductor manufacturing apparatus for removing oxide layer.
  269. Cathey David A. (Boise ID) Rolfson J. Brett (Boise ID), Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers.
  270. Jerbic Chris (Fremont CA), Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation.
  271. Vassiliev Vladislav,SGX, Method of silicon oxide and silicon glass films deposition.
  272. Joerg Dreybrodt DE; Dirk Drescher DE; Ralf Zedlitz DE; Stephan Wege DE, Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases.
  273. Cheung, Robin; Dordi, Yezdi; Tseng, Jennifer, Method of treating a substrate.
  274. Test, Howard R., Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process.
  275. Doan Trung T. (Boise ID) Tuttle Mark E. (Boise ID), Method to form a low resistant bond pad interconnect.
  276. Uzoh Cyprian Emeka ; Greco Stephen Edward, Method to selectively fill recesses with conductive metal.
  277. Blalock Guy T. (Boise ID) Doan Trung T. (Boise ID), Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage.
  278. Fong Gary ; Xia Li-Qun ; Nemani Srinivas ; Yieh Ellie, Methods and apparatus for cleaning surfaces in a substrate processing system.
  279. Abraham Susan C., Methods and apparatus for etching semiconductor wafers.
  280. M'Saad Hichem,FRX, Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications.
  281. Zarowin Charles B. (Rowayton CT) Bollinger L. David (Ridgefield CT), Methods and apparatus for generating a plasma for “downstream”rapid shaping of surfaces of substrates and films.
  282. Fong Gary ; Chang Fong ; Nguyen Long, Methods and apparatus for pre-stabilized plasma generation for microwave clean applications.
  283. Xia, Li-Qun; Balseanu, Mihaela; Nguyen, Victor; Witty, Derek R.; M'Saad, Hichem; Yang, Haichun; Lu, Xinliang; Kao, Chien-Teh; Chang, Mei, Methods and systems for forming at least one dielectric layer.
  284. Pirkle David R., Methods for filling trenches in a semiconductor wafer.
  285. Fucsko,Janos; Waldo,Grady S.; Torek,Kevin J.; Li,Li, Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum.
  286. Moore John T. ; Blalock Guy T. ; DeBoer Scott Jeffrey, Methods of forming carbon-containing layers.
  287. Kloiber Allan J. (Marshall Township ; Allegheny County PA) Bubien Gary G. (Center PA) Osmanski Gerald S. (Brighton Township ; Beaver County PA), Modular apparatus and method for surface treatment of parts with liquid baths.
  288. Liu, Xinye; Lai, Chiukin Steven, Modulating etch selectivity and etch rate of silicon nitride thin films.
  289. Maydan Dan (Los Altos Hills CA) Somekh Sasson (Redwood City CA) Wang David N. (Cupertino CA) Cheng David (San Jose CA) Toshima Masato (San Jose CA) Harari Isaac (Mountain View CA) Hoppe Peter D. (Sun, Multi-chamber integrated process system.
  290. Liu Chih-Chien,TWX ; Chen Kuen-Jian,TWX ; Chen Yu-Hao,TWX ; Wu J. Y.,TWX ; Lur Water,TWX ; Sun Shih-Wei,TWX, Multi-step high density plasma chemical vapor deposition process.
  291. Hanawa Hiroji ; Ishikawa Tetsuya ; Wong Manus ; Li Shijian ; Niazi Kaveh ; Smyth Kenneth ; Redeker Fred C. ; Detrick Troy ; Pinson ; II Jay Dee, Multi-zone RF inductively coupled source configuration.
  292. Moslehi Mehrdad M. (Dallas TX), Multi-zone plasma processing method and apparatus.
  293. Chen Chao-Cheng,TWX, Multiple etch method for forming residue free patterned hard mask layer.
  294. Xia, Li-Qun; Yieh, Ellie, Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill.
  295. Yu Chen-Hua,TWX, Nitride-oxide sidewall spacer for salicide formation.
  296. Cain John L. (Schertz TX), Optimization of dry etching through the control of helium backside pressure.
  297. Lin, Li-Te; Chao, Li-Chih; Tsai, Chia-Shiung, Organic low K dielectric etch with NH3 chemistry.
  298. Gilton Terry L. ; Korn David A., Oxide etch and method of etching.
  299. Han Qingyuan ; Berry Ivan ; Sakthivel Palani ; Ruffin Ricky ; Dahimene Mammoud, Oxygen free plasma stripping process.
  300. Dobuzinsky David M. (Hopewell Junction NY) Matsuda Tetsuo (Poughkeepsie NY) Nguyen Son V. (Hopewell Junction NY) Ryan James G. (Newton CT) Shapiro Michael (Beacon NY), PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element.
  301. Kaja Suryanarayana (Hopewell Junction NY) Mukherjee Shyama P. (Hopewell Junction NY) O\Sullivan Eugene J. (Upper Nyack NY) Paunovic Milan (Port Washington NY), Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electrol.
  302. Fodor Mark A. (Los Gatos CA) Bercaw Craig A. (Sunnyvale CA) Dornfest Charles (Fremont CA), Patterned susceptor to reduce electrostatic force in a CVD chamber.
  303. Kim Sang-ho,KRX ; Lim Tae-hyung,KRX, Pedestal with self retaining sealing ring for semiconductor device etching system.
  304. Tepman Avi (Cupertino CA) Grunes Howard (Santa Cruz CA) Andrews Dana (Mountain View CA), Physical vapor deposition clamping mechanism and heater/cooler.
  305. Iyer Ravi, Planarization using plasma oxidized amorphous silicon.
  306. Okamura Nobuyuki (Kawasaki JPX) Yamagami Atsushi (Kawasaki JPX) Takaki Satoshi (Komae JPX), Plasma CVD process using a very-high-frequency and plasma CVD apparatus.
  307. Tobe Ryoki,JPX ; Sasaki Masao,JPX ; Sekiguchi Atsushi,JPX ; Takagi Ken-ichi,JPX, Plasma enhanced CVD apparatus and process, and dry etching apparatus and process.
  308. Chia-Shiun Tsai TW; Chao-Cheng Chen TW; Hun-Jan Tao TW, Plasma etch method for forming patterned oxygen containing plasma etchable layer.
  309. Mu Xiao-Chun (Saratoga CA) Multani Jagir (Fremont CA), Plasma etching process for refractory metal vias.
  310. Nagahata Kazunori,JPX ; Nagaseki Kazuya,JPX, Plasma processing apparatus.
  311. Miyanaga Akiharu (Kanagawa JPX) Inoue Tohru (Kanagawa JPX) Yamazaki Shunpei (Tokyo JPX), Plasma processing method.
  312. Celestino Salvatore A. (Novato CA) Gorin Georges J. (Pinole CA) Hilliker Stephen E. (Petaluma CA) Powell Gary B. (Petaluma CA), Plasma reactor apparatus.
  313. Gorin Georges J. (Emeryville CA) Hoog Josef T. (Novato CA), Plasma reactor apparatus.
  314. Hoog Josef T. (Novato CA) Mitzel James W. (Richmond CA), Plasma reactor removable insert.
  315. Redeker Fred C. ; Ishikawa Tetsuya, Plasma source for HDP-CVD chamber.
  316. Huang, Judy, Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers.
  317. Choi, Soo Young; Park, Beom Soo; White, John M.; Tiner, Robin L., Plasma uniformity control by gas diffuser curvature.
  318. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, Plasma-enhanced CVD process using TEOS for depositing silicon oxide.
  319. Lee, Wei Ti; Guo, Ted, Plasma-enhanced chemical vapor deposition of a metal nitride layer.
  320. Sandhu Gurtej S. ; Westmoreland Donald L., Plasmaless dry contact cleaning method using interhalogen compounds.
  321. Ting Chiu ; Dubin Valery, Plated copper interconnect structure.
  322. Reynolds H. Vincent, Plating cell with horizontal product load mechanism.
  323. Reynolds H. Vincent, Plating cell with rotary wiper and megasonic transducer.
  324. Dalton, Timothy J.; Hummel, John P., Post metalization chem-mech polishing dielectric etch.
  325. Shinagawa Keisuke (Kawasaki JPX) Fujimura Shuzo (Tokyo JPX), Process and apparatus for ashing treatment.
  326. Arkadii V. Samoilov ; Dale R. DuBois ; Bradley M. Curelop ; David R. Carlson ; Paul B. Comita, Process and apparatus for cleaning a silicon surface.
  327. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburgh CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San , Process for PECVD of silicon oxide using TEOS decomposition.
  328. Uchida Hiroki (Hirakata JPX) Kubo Motonobu (Hirakata JPX) Kiso Masayuki (Hirakata JPX) Hotta Teruyuki (Hirakata JPX) Kamitamari Tohru (Hirakata JPX), Process for electroless plating tin, lead or tin-lead alloy.
  329. Hung, Hoiman (Raymond); Caulfield, Joseph P.; Shan, Hongqing; Wang, Ruiping; Yin, Gerald Z., Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window.
  330. Walsh Daniel P. (Peabody MA), Process for forming polyimide-metal laminates.
  331. Tsukune Atuhiro (Kawasaki JPX) Furumura Yuji (Kawasaki JPX) Masanobu Hatanaka (Kawasaki JPX), Process for forming silicon oxide film.
  332. Kobayashi Takayuki (Yokohama JPX) Tamamura Ryo (Yokohama JPX), Process for preparing nickel layer.
  333. Homma Tetsuya (Tokyo JPX), Process for producing semiconductor devices.
  334. Satou Mamoru (Kawanishi JPX) Yamaguchi Kohichi (Kagoshima JPX), Process for production of high-hardness boron nitride film.
  335. Tomikawa Tadashi (Itami JPX) Fujita Nobuhiko (Itami JPX) Nakagama Shyoji (Itami JPX) Nakayama Akira (Itami JPX), Process for the synthesis of hard boron nitride.
  336. Berenguer Marc,FRX ; Pons Michel,FRX, Process for treating a semiconductor substrate comprising a surface-treatment step.
  337. Ishikawa Tetsuya ; Krishnaraj Padmanabhan ; Niazi Kaveh ; Hanawa Hiroji, Process kit.
  338. Shieh Benjamin P. ; Nag Somnath S. ; List Richard S., Process scheme to form controlled airgaps between interconnect lines to reduce capacitance.
  339. Davis Cecil J. (Greenville TX) Matthews Robert T. (Plano TX) Loewenstein Lee M. (Plano TX) Jucha Rhett B. (Celeste TX) Hildenbrand Randall C. (Richardson TX) Jones John I. (Plano TX), Processing apparatus and method.
  340. Hamelin, Thomas; Wallace, Jay; Laflamme, Jr., Arthur, Processing system and method for chemically treating a substrate.
  341. Paunovic Milan ; Jahnes Christopher, Production of electroless Co(P) with designed coercivity.
  342. Schacham-Diamand Yosef ; Dubin Valery M. ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K. ; Desilva Melvin, Protected encapsulation of catalytic layer for electroless copper interconnect.
  343. Derderian,Garo; Ramaswamy,Nirmal, Protection of tunnel dielectric using epitaxial silicon.
  344. Williams Kurt E. ; Druz Boris L. ; Hines Danielle S. ; Londono Jhon F., Reactive ion beam etching method and a thin film head fabricated using the method.
  345. Mungekar, Hemant P.; Patel, Anjana M.; Vellaikal, Manoj; Wang, Anchuan; Kapoor, Bikram, Reactive ion etching for semiconductor device feature topography modification.
  346. Cohen Barney M. ; Su Jingang ; Ngan Kenny King-Tai, Reactive plasma etch cleaning of high aspect ratio openings.
  347. Iyer Ravi, Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines.
  348. Law Kam S. (Union City CA) Leung Cissy (Fremont CA) Tang Ching C. (San Francisco CA) Collins Kenneth S. (San Jose CA) Chang Mei (Cupertino CA) Wong Jerry Y. K. (Union City CA) Wang David Nin-Kou (Cup, Reactor chamber self-cleaning process.
  349. Chao-Kun Hu ; Robert Rosenberg ; Judith Marie Rubino ; Carlos Juan Sambucetti ; Anthony Kendall Stamper, Reduced electromigration and stressed induced migration of Cu wires by surface coating.
  350. Fairbairn Kevin ; Ponnekanti Hari K. ; Cheung David ; Tanaka Tsutomu,JPX ; Kelka Malcal, Remote plasma source.
  351. Bencher, Christopher Dennis, Removable amorphous carbon CMP stop.
  352. Aruga Michio (Tomisato JPX) Ohkura Atsunobu (Yachimata JPX) Saito Akihiko (Chiba JPX) Suzuki Kenji (Narita JPX) Taguchi Kenichi (Narita JPX) DuBois Dale Robert (Los Gatos CA) Morrison Alan Ferris (Cu, Resistance heated stem mounted aluminum susceptor assembly.
  353. Vo, Be Van; Umotoy, Salvador P.; Trinh, Son N.; Lei, Lawrence Chung-Lai; Edelstein, Sergio; Tepman, Avi; Kao, Chien-Teh; Tsai, Kenneth, Resonant chamber applicator for remote plasma source.
  354. deBoer Wiebe B. (Eersel NLX) Ozias Albert E. (Aumsville OR), Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment.
  355. Zhao Bin (Austin TX) Vasudev Prahalad K. (Austin TX) Dubin Valery M. (Cupertino CA) Shacham-Diamand Yosef (Ithaca NY) Ting Chiu H. (Saratoga CA), Selective electroless copper deposited interconnect plugs for ULSI applications.
  356. Calabrese Gary S. (North Andover MA) Calvert Jeffrey M. (Burke VA) Chen Mu-San (Ellicott MD) Dressick Walter J. (Fort Washington MD) Dulcey Charles S. (Washington DC) Georger ; Jr. Jacque H. (Holden , Selective metallization process.
  357. Brooks Cynthia B. ; Merry Walter ; Joshi Ajey M. ; Quinones Gladys D. ; Trevor Jitske, Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and.
  358. Forget Lawrence E. (Poughkeepsie NY) Gdula Robert A. (Pleasant Valley NY) Hollis Joseph C. (Poughquag NY), Selective reactive ion etching of polysilicon against SiO2 utilizing SF6-Cl2-inert gas.
  359. Blaugher Richard D. (Pittsburgh PA) Buttyan Joseph (Wilkins Township ; Alleghney County PA) Przybysz John X. (Penn Hills PA), Selective silicon dioxide etchant for superconductor integrated circuits.
  360. Selyutin Leonid ; Zhao Jun, Self aligning lift mechanism.
  361. Matsuura Masazumi (Hyogo JPX), Semiconductor device having an interlayer insulating film of high crack resistance.
  362. Towle, Steven N., Semiconductor device with boron containing carbon doped silicon oxide layer.
  363. Lopatin Sergey D. ; Pramanick Shekhar ; Brown Dirk, Semiconductor metalization barrier.
  364. Schuegraf, Klaus F., Semiconductor processing methods of chemical vapor depositing SiO2 on a substrate.
  365. Orczyk Maciek ; Murugesh Laxman ; Narwankar Pravin, Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing.
  366. Orczyk Maciek ; Murugesh Laxman ; Narwankar Pravin, Sequencing of the recipe steps for the optimal low-k HDP-CVD processing.
  367. Tarafdar,Raihan M.; Papasouliotis,George D.; Rulkens,Ron; Hausmann,Dennis M.; Tobin,Jeff; Tipton,Adrianne K.; Nie,Bunsen, Sequential deposition/anneal film densification method.
  368. Hallin,Christer; Lendenmann,Heinz; Sumakeris,Joseph John, Sequential lithographic methods to reduce stacking fault nucleation sites.
  369. Ling-Sung Wang TW, Shallow trench isolation (STI) module to improve contact etch process window.
  370. Jang Syun-Ming,TWX ; Fu Chu-Yun,TWX ; Yu Chen-Hua Douglas,TWX, Shallow trench isolation filled by high density plasma chemical vapor deposition.
  371. Moon Peter K. ; Landau Berni W. ; Krick David T., Shallow trench isolation technique.
  372. Rothschild, Michael; Misinai, Tsvi, Sharing live data with a non cooperative DBMS.
  373. White, John M.; Sterling, William Norman, Showerhead mounting to accommodate thermal expansion.
  374. Olmer Leonard J. (Orlando FL), Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced CVD.
  375. Panda,Siddhartha; Wise,Richard; Murthy,Srikanteswara Dakshina; Subramanian,Kamatchi, Silicon nitride etching methods.
  376. Sapre, Kedar; Tang, Jing; Wang, Linlin; Mallick, Abhijit Basu; Ingle, Nitin, Silicon-selective dry etch for carbon-containing films.
  377. Chew Peter,SGX, Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrat.
  378. Eric Anton Nering, Single-wafer process chamber thermal convection processes.
  379. Ishikawa Kenji (Sagamihara JPX) Komino Mitsuaki (Tokyo JPX) Mitui Tadashi (Yamanashi JPX) Iwata Teruo (Nirasaki JPX) Arai Izumi (Yokohama JPX) Tahara Yoshifumi (Tokyo JPX), Stage having electrostatic chuck and plasma processing apparatus using same.
  380. Tepman Avi (Cupertino CA) Grunes Howard (Santa Cruz CA) Somekh Sasson (Los Altos Hills CA) Maydan Dan (Los Altos Hills CA), Staged-vacuum wafer processing system and method.
  381. Tanaka Yoichiro, Step coverage and overhang improvement by pedestal bias voltage modulation.
  382. Dawson Robert ; Michael Mark W. ; Bandyopadhyay Basab ; Fulford ; Jr. H. Jim ; Hause Fred N. ; Brennan William S., Substantially planar semiconductor topography using dielectrics and chemical mechanical polish.
  383. Fong Gary ; Silvestre Irwin, Substrate processing apparatus with bottom-mounted remote plasma system.
  384. Tsai, Cheng-Hsiung; Tzou, Chien-Shiung, Substrate support with multilevel heat transfer mechanism.
  385. Sugaya, Masakazu; Murai, Fumio; Kaneko, Yutaka; Kanetomo, Masafumi; Hirasawa, Shigeki; Watanabe, Tomoji; Yamamoto, Tatuharu; Kuroda, Katsuhiro, Substrate temperature control system and method for controlling temperature of substrate.
  386. Vaartstra Brian A., Supercritical etching compositions and method of using same.
  387. Gerhard M. Schneider ; Hamid Noorbakhsh ; Bryan Pu ; Kaushik Vaidya ; Brad Leroy Mays ; Hung Dao ; Evans Lee ; Hongging Shan, Support assembly with thermal expansion compensation.
  388. Keller, Ernst; Shang, Quanyuan, Suspended gas distribution manifold for plasma chamber.
  389. Keller,Ernst; Shang,Quanyuan, Suspended gas distribution manifold for plasma chamber.
  390. White,John M.; Keller,Ernst; Blonigan,Wendell T., Suspended gas distribution plate.
  391. Redeker Fred C. ; Moghadam Farhad ; Hanawa Hiroji ; Ishikawa Tetsuya ; Maydan Dan ; Li Shijian ; Lue Brian ; Steger Robert J. ; Wong Manus ; Wong Yaxin ; Sinha Ashok K., Symmetric tunable inductively coupled HDP-CVD reactor.
  392. Martinez, Rodolfo A.; Alvarez, Marc A.; Silks, III, Louis A.; Unkefer, Clifford J., Synthesis of 2H- and 13C-substituted dithanes.
  393. Ershov,Alexander I.; Marx,William F., Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source.
  394. Bakli, Mouloud; Ghanayem, Steve G.; Tran, Huyen T., Tantalum nitride CVD deposition by tantalum oxide densification.
  395. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  396. Wang David Nin-Kou ; White John M. ; Law Kam S. ; Leung Cissy ; Umotoy Salvador P. ; Collins Kenneth S. ; Adamik John A. ; Perlov Ilya ; Maydan Dan, Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process.
  397. Zhao Jun ; Sinha Ashok ; Tepman Avi ; Chang Mei ; Luo Lee ; Schreiber Alex ; Sajoto Talex ; Wolff Stefan ; Dornfest Charles ; Danek Michal, Thermally floating pedestal collar in a chemical vapor deposition chamber.
  398. Shimokohbe, Akira; Hata, Seiichi, Thin film-structure and a method for producing the same.
  399. Lane Barton G. (Belmont MA) Sawin Herbert H. (Lexington MA) Smatlak Donna L. (Arlington MA), Toroidal electron cyclotron resonance reactor.
  400. Smith Donald K. ; Chen Xing ; Holber William M. ; Georgelis Eric, Toroidal low-field reactive gas source.
  401. Lee,Sangheon; Kang,Sean S.; Sadjadi,S M Reza; Deshmukh,Subhash; Kim,Ji Soo, Treatment for corrosion in substrate processing.
  402. Gerald Zheyao Yin ; Xue-Yu Qian ; Patrick L. Leahey ; Jonathan D. Mohn ; Waiching Chow ; Arthur Y. Chen ; Zhi-Wen Sun ; Brian K. Hatcher, Treatment of etching chambers using activated cleaning gas.
  403. Kleinhenz Richard L. ; Natzle Wesley C. ; Yu Chienfan, Trench sidewall patterned by vapor phase etching.
  404. Wang, Xikun; Williams, Scott; Pan, Shaoher X., Two-stage self-cleaning silicon etch process.
  405. DuRose Arthur H. (Pinellas Park FL), Two-step preplate system for polymeric surfaces.
  406. Ohga Yuichi (Yokohama JPX) Ishikawa Shinji (Yokohama JPX) Saito Tatsuhiko (Yokohama JPX) Yokota Hiroshi (Yokohama JPX) Kyoto Michihisa (Yokohama JPX), UV light-permeable glass and article comprising the same.
  407. Maydan Dan ; Somekh Sasson ; Sinha Ashok ; Fairbairn Kevin ; Lane Christopher ; Colborne Kelly ; Ponnekanti Hari K. ; Taylor W. N.(Nick), Ultra high throughput wafer vacuum processing system.
  408. Telford Susan G. (Cupertino CA) Tseng Meng C. (Saratoga CA) Aruga Michio (Chiba JPX), Uniform tungsten silicide films produced by chemical vapor deposition.
  409. Benjamin Neil ; Hylbert Jon ; Mangano Stefano, Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and.
  410. Dubin Valery M. (Cupertino CA) Schacham-Diamand Yosi (Ithaca NY) Zhao Bin (Irvine CA) Vasudev Prahalad K. (Austin TX) Ting Chiu H. (Saratoga CA), Use of cobalt tungsten phosphide as a barrier material for copper metallization.
  411. Oberle Robert R., Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication.
  412. Graff, Wesley P., Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process.
  413. Arami Junichi (Tokyo JPX) Ishikawa Kenji (Sagamihara JPX) Deguchi Youichi (Machida JPX) Yagi Hironori (Yokohama JPX) Kawada Nobuo (Annaka JPX) Yanagisawa Isao (Annaka JPX), Vacuum processing apparatus.
  414. Ukai Katsumi (Fuchu JPX) Tsukada Tsutomu (Fuchu JPX) Ikeda Kouji (Fuchu JPX) Adachi Toshio (Fuchu JPX), Vacuum processing apparatus.
  415. Guiver,Harold Chris, Vacuum thermal annealer.
  416. Patrick A. Van Cleemput ; George D. Papasouliotis ; Mark A. Logan ; Bart van Schravendijk ; William J. King, Very high aspect ratio gapfill using HDP.
  417. Soma Takao (Nishikamo JPX) Ushikoshi Ryusuke (Handa City JPX) Nobori Kazuhiro (Haguri JPX), Wafer heaters for use in semiconductor-producing apparatus and heating units using such wafer heaters.
  418. Arnold Kimberley J. ; Lewis John W. ; Pinto James N., Wafer lift assembly.
  419. Burkhart Vincent E. (San Jose CA) Sugarman Michael N. (San Francisco CA) Grunes Howard E. (Santa Cruz CA), Wafer spacing mask for a substrate support chuck and method of fabricating same.
  420. Halpin Michael W. ; Hawkins Mark R. ; Foster Derrick W. ; Vyne Robert M. ; Wengert John F. ; van der Jeugd Cornelius A. ; Jacobs Loren R., Wafer support system.
  421. Wang Chin-Kun (San-Chung TWX) Yu Chen-Hua Douglas (Keelung TWX) Lin Lu-Min (Hsin-Chu TWX), method of forming inter-metal-dielectric structure.

이 특허를 인용한 특허 (93)

  1. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  2. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  3. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  4. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  6. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  7. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  8. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  9. Lubomirsky, Dmitry, Chamber with flow-through source.
  10. Lubomirsky, Dmitry, Chamber with flow-through source.
  11. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  12. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  13. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  14. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  15. Milenin, Alexey; Witters, Liesbeth, Contact formation in Ge-containing semiconductor devices.
  16. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  17. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  18. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  19. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  20. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  21. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  22. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  23. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  24. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  25. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  26. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  27. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  28. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  29. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  30. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  31. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  32. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  33. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  34. Ko, Jungmin, Method of fin patterning.
  35. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  36. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  37. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  38. Bombardier, Colin; He, Ming; Chauhan, Vikrant; KM Mahalingam, Anbu Selvam; Donegan, Keith, Multi-directional self-aligned multiple patterning.
  39. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  40. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  41. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  42. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  43. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  44. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  45. Jeon, Kyung-yub; Kim, Dong-chan; Min, Gyung-jin; Park, Jae-hong; Han, Je-woo, Patterning method using metal mask and method of fabricating semiconductor device including the same patterning method.
  46. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  47. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  48. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  49. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  50. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  51. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  52. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  53. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  54. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  55. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  56. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  57. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  58. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  59. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  60. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  61. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  62. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  63. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  64. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  65. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  66. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  67. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  68. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  69. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  70. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  71. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  72. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  73. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  74. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  75. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  76. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  77. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  78. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  79. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  80. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  81. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  82. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  83. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  84. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  85. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  86. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  87. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  88. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  89. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  90. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  91. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  92. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  93. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트