$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Oxide etch selectivity systems and methods

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/302
  • H01L-021/311
  • H01J-037/32
  • C23C-016/455
  • C23C-016/50
  • H01L-021/3065
  • H01L-021/3213
출원번호 US-0821542 (2015-08-07)
등록번호 US-9349605 (2016-05-24)
발명자 / 주소
  • Xu, Lin
  • Chen, Zhijun
  • Wang, Anchuan
  • Nguyen, Son T.
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Kilpatrick Townsend & Stockton LLP
인용정보 피인용 횟수 : 58  인용 특허 : 597

초록

Embodiments of the present technology may include a method of etching a substrate. The method may include striking a plasma discharge in a plasma region. The method may also include flowing a fluorine-containing precursor into the plasma region to form a plasma effluent. The plasma effluent may flow

대표청구항

1. A method of etching a substrate, the method comprising: striking a plasma discharge in a plasma region with a power from a power supply;flowing a fluorine-containing precursor into the plasma region to form a plasma effluent;flowing the plasma effluent through a first plurality of openings in a s

이 특허에 인용된 특허 (597)

  1. David T. Or ; Keith K. Koai ; Fufa Chen ; Lawrence C. Lei, 300 mm CVD chamber design for metal-organic thin film deposition.
  2. Geffken,Robert M.; Motsiff,William T., Adjustable self-aligned air gap dielectric for low capacitance wiring.
  3. Liu,Xinye; Collins,Joshua; Ashtiani,Kaihan A., Adsorption based material removal process.
  4. Liou,Huey Chiang, Air gap integration.
  5. Park, Hyun-Mog; Kloster, Grant M., Air gap interconnect method.
  6. Hill Eugene F. (Belmont CA), Alkali metal leak detection method and apparatus.
  7. Shacham Yosef Y. (Ithaca NY) Bielski Roman (Ithaca NY), Alkaline free electroless deposition.
  8. Kellam Mark, Aluminum-palladium alloy for initiation of electroless plating.
  9. Ouellet,Luc; Migneault,Ghislain; Li,Jun, Anhydrous HF release of process for MEMS devices.
  10. Lory Earl R. (Pennington NJ) Olmer Leonard J. (Austin TX), Anisotropic deposition of silicon dioxide.
  11. Cote William J. (Essex Junction VT) Holland Karey L. (Essex Junction VT) Wright Terrance M. (Williston VT), Anisotropic etch process for tungsten metallurgy.
  12. Ye Yan, Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber.
  13. Hayashi Shigenori (Kanagawa JPX) Yamazaki Shunpei (Tokyo JPX), Apparatus and method for depositing a film.
  14. Kao Yeh-Jen ; Chang Fong M. ; Majewski Robert B. ; Parks John ; Wanamaker David ; Wang Yen-Kun, Apparatus and method for depositing low K dielectric materials.
  15. Chen LinLin, Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece.
  16. Hu Albert ; Furman Burford J. ; Abushaban Mohamed, Apparatus and method for polishing a flat surface using a belted polishing pad.
  17. Mardian, Allen P.; Rodriguez, Santiago R., Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes.
  18. Cheung Robin ; Sinha Ashok ; Tepman Avi ; Carl Dan, Apparatus for electro-chemical deposition with thermal anneal chamber.
  19. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  20. Shioya Yoshimi (Yokohama JPX) Maeda Mamoru (Tama JPX) Ohyama Yasushi (Kodaira JPX) Takagi Mikio (Kawasaki JPX), Apparatus for plasma chemical vapor deposition.
  21. Foster Robert F. (Phoenix AZ) Hillman Joseph T. (Scottsdale AZ) LeBlanc Rene E. (East Haven CT), Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating suscept.
  22. Gadgil Prashant ; Flanner Janet M. ; Jordan John P. ; Doe Adrian ; Chebi Robert, Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer.
  23. Hiatt C. Fred (Burnsville MN) Gray David C. (Sunnyvale CA) Butterbaugh Jeffery W. (Chanhassen MN), Apparatus for surface conditioning.
  24. Knowles Steven C. (Seattle WA) Kull Alan E. (Seattle WA) Butler George W. (Seattle WA) King David O. (Woodinville WA), Apparatus for synthesizing diamond films utilizing an arc plasma.
  25. Gilchrist Robin ; Wilhoit Michael S., Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment.
  26. Draeger, Nerissa; te Nijenhuis, Harald; Meinhold, Henner; van Schravendijk, Bart; Nittala, Lakshmi, Atomic layer removal process with higher etch amount.
  27. Lesinski S. George ; Neukermans Armand P. ; Neukermans Christopher P., Attaching an implantable hearing aid microactuator.
  28. Yoon, Ki Hwan; Cha, Yonghwa Chris; Yu, Sang Ho; Ahmad, Hafiz Farooq; Wee, Ho Sun, Barrier formation using novel sputter deposition method with PVD, CVD, or ALD.
  29. Janakiraman, Karthik; Suarez, Edwin C., Blocker plate by-pass for remote plasma clean.
  30. Shih Hong ; Han Nianci ; Mak Steve S. Y. ; Yin Gerald Zheyao, Boron carbide parts and coatings in a plasma reactor.
  31. America William G. (Newtown CT) Poole Richard R. (Norwalk CT), Boron nutride membrane in wafer structure.
  32. Yeap Geoffrey Choh-Fei ; Xiang Qi ; Lin Ming-Ren, CMOS optimization method utilizing sacrificial sidewall spacer.
  33. Zhao Jun (Milpitas CA) Cho Tom (San Francisco CA) Dornfest Charles (Fremont CA) Wolff Stefan (Sunnyvale CA) Fairbairn Kevin (Saratoga CA) Guo Xin S (Mountain View CA) Schreiber Alex (Santa Clara CA) , CVD Processing chamber.
  34. Hasegawa Shinya (Tokyo JPX) Mizuno Shigeru (Kanagawaken-ken JPX) Watanabe Kazuhito (Kanagawaken-ken JPX) Takahashi Nobuyuki (Tokyo JPX) Tagami Manabu (Tokyo JPX) Yoshimura Takanori (Tokyo JPX) Sahase, CVD apparatus.
  35. Xu, Ge; Nogami, Hiroshi, CVD apparatus.
  36. Nogami Hiroshi,JPX, CVD apparatus and method of using same.
  37. Mizukami Masami,JPX ; Mochizuki Takashi,JPX ; Kawano Yumiko,JPX, CVD film forming method in which a film formation preventing gas is supplied in a direction from a rear surface of an object to be processed.
  38. Lang, Chi-I; Huang, Judy H.; Barnes, Michael; Shanker, Sunil, CVD flowable gap fill.
  39. Chen Ling ; Ganguli Seshadri ; Zheng Bo ; Wilson Samuel ; Marcadal Christophe, CVD method of depositing copper films by using improved organocopper precursor blend.
  40. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, CVD of silicon oxide using TEOS decomposition and in-situ planarization process.
  41. Brummett Charles Roscoe (Harrisburg PA) Shaak Ray Ned (Lebanon PA) Andrews Daniel Marshall (Harrisburg PA), Catalyst for electroless deposition of metals.
  42. Brummett Charles Roscoe (Harrisburg PA) Shaak Ray Ned (Lebanon PA) Andrews Daniel Marshall (Harrisburg PA), Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates.
  43. Stevens Joe, Cathode contact ring for electrochemical deposition.
  44. Tang, Hairong; Zhao, Xiaoye; Horioka, Keiji; Pender, Jeremiah T. P., Chamber recovery after opening barrier over copper.
  45. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  46. Kim, Chang Sung Sean; Hong, Jong Pa; Ghim, Joong El, Chemical vapor deposition apparatus.
  47. Lei Lawrence C. (Cupertino CA) Perlov Ilya (Santa Clara CA) Littau Karl A. (Sunnyvale CA) Morrison Alan F. (San Jose CA) Chang Mei (Cupertino CA) Sinha Ashok K. (Palo Alto CA), Chemical vapor deposition chamber with a purge guide.
  48. Littau Karl ; Lei Lawrence Chung-lai, Chemical vapor deposition chamber with substrate edge protection.
  49. Homma Tetsuya (Tokyo JPX), Chemical vapor deposition method for forming fluorine containing silicon oxide film.
  50. Sinha Ashok ; Chang Mei ; Perlov Ilya ; Littau Karl A. ; Morrison Alan F. ; Lei Lawrence Chung-Lai, Chemical vapor deposition of a thin film onto a substrate.
  51. Parkhe, Vijay D.; Hausmann, Gilbert; Kalyanam, Jagadish, Chemical vapor deposition of barriers from novel precursors.
  52. Ingle, Nitin K.; Yuan, Zheng; Gee, Paul; Sapre, Kedar, Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen.
  53. Begarney, Michael J.; Campanale, Frank J., Chemical vapor deposition reactor.
  54. Russell Kathleen (Santa Clara CA) Robles Stuardo (Sunnyvale CA) Nguyen Bang C. (Fremont CA) Sivaramakrishnan Visweswaren (Cupertino CA), Chemical vapor deposition reactor system and integrated circuit.
  55. Sang-Tae Ko KR, Chemical vapor deposition system.
  56. Mahawili, Imad, Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication.
  57. Buchwalter Leena P. ; Callegari Alessandro Cesare ; Cohen Stephan Alan ; Graham Teresita Ordonez ; Hummel John P. ; Jahnes Christopher V. ; Purushothaman Sampath ; Saenger Katherine Lynn ; Shaw Jane , Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same.
  58. Sambucetti, Carlos Juan; Chen, Xiaomeng; Seo, Soon-Cheon; Agarwala, Birenda Nath; Hu, Chao-Kun; Lustig, Naftali Eliahu; Greco, Stephen Edward, Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect.
  59. Wood, Bingxi Sun; Kawaguchi, Mark N.; Papanu, James S.; Mosely, Roderick C.; Lai, Chiukun Steven; Kao, Chien Teh; Ai, Hua; Wang, Wei W., Cleaning of native oxide with hydrogen-containing radicals.
  60. Brusic Vlasta A. ; Marino Jeffrey Robert ; O'Sullivan Eugene John ; Sambucetti Carlos Juan ; Schrott Alejandro Gabriel ; Uzoh Cyprian Emeka, Cobalt-tin alloys and their applications for devices, chip interconnections and packaging.
  61. Kitayama,Hirofumi; Matsushima,Noriaki, Conductor treating single-wafer type treating device and method for semi-conductor treating.
  62. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  63. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  64. Sricharoenchaikit Prasit (Millis) Calabrese Gary S. (North Andover) Gulla Michael (Millis MA), Controlled electroless plating.
  65. Grant Robert W. (Allenstown PA) Ruzyllo Jerzy (State College PA) Torek Kevin (State College PA), Controlled etching of oxides via gas phase reactions.
  66. Krishnamoorthy Ahila ; Duquette David J. ; Murarka Shyam P., Copper alloy electroplating bath for microelectronic applications.
  67. Liu Chung-Shi,TWX ; Chang Chung-Long,TWX ; Yu Chen-Hua,TWX, Copper chemical-mechanical-polishing (CMP) dishing.
  68. Hsiung Chiung-Sheng,TWX ; Hsieh Wen-Yi,TWX ; Lur Water,TWX, Copper damascene technology for ultra large scale integration circuits.
  69. Lee Chwan-Ying,TWX ; Huang Tzuen-Hsi,TWX, Copper metallization of USLI by electroless process.
  70. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  71. Strikovski,Mikhail, Cylindrical electron beam generating/triggering device and method for generation of electrons.
  72. Lin Ming-Horng,TWX ; Lee Ray,TWX ; Tsai Nien-Yu,TWX, Deep trench bottle-shaped etching using Cl2 gas.
  73. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  74. Jang Syun-Ming (Hsin-Chu TWX) Yu Chen-Hua (Keelung City TWX), Deposit-etch-deposit ozone/teos insulator layer method.
  75. Nidola Antonio (Milan ITX) Martelli Gian N. (Milan ITX), Deposition of catalytic electrodes on ion-exchange membranes.
  76. Zheng Bo ; Chen Ling ; Mak Alfred ; Chang Mei, Deposition of copper with increased adhesion.
  77. Lane Andrew P. (Westminster TX) Webb Douglas A. (Allen TX) Frederick Gene R. (Mesquite TX), Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride.
  78. Chau, Robert S.; Andideh, Ebrahim; Taylor, Mitch C.; Jan, Chia-Hong; Tsai, Julie, Device structure and method for reducing silicide encroachment.
  79. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  80. Choi, Soo Young; White, John M., Diffuser plate with slit valve compensation.
  81. James A. Cunningham, Diffusion barriers for copper interconnect systems.
  82. Xu, Zhi, Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage.
  83. Stavov Vladimir (Redwood City CA), Double-sided radiation-assisted processing apparatus.
  84. Douglas Monte A. (Coppell TX), Dry etch of phosphosilicate glass with selectivity to undoped oxide.
  85. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  86. Yamazaki, Shunpei; Suzawa, Hideomi, Dry etching apparatus, etching method, and method of forming a wiring.
  87. Kadomura Shingo (Kanagawa JPX), Dry etching method.
  88. Tachi Shinichi (Sayama JPX) Tsujimoto Kazunori (Higashiyamato JPX) Okudaira Sadayuki (Ome JPX), Dry etching method.
  89. Palmour John W. (Raleigh NC), Dry etching of silicon carbide.
  90. Palmour John W. (Raleigh NC), Dry etching of silicon carbide.
  91. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  92. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  93. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  94. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Dry-etch for silicon-and-nitrogen-containing films.
  95. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  96. Edelstein Daniel C. ; Dalton Timothy J. ; Gaudiello John G. ; Krishnan Mahadevaiyer ; Malhotra Sandra G. ; McGlashan-Powell Maurice ; O'Sullivan Eugene J. ; Sambucetti Carlos J., Dual etch stop/diffusion barrier for damascene interconnects.
  97. Srinivas Nemani ; Li-Qun Xia ; Ellie Yieh, Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers.
  98. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  99. Krogh Ole D. (110 Point Lobos Ave. San Francisco CA 94121), ECR plasma source for remote processing.
  100. Stevens, Joe; Olgado, Donald; Ko, Alex; Mok, Yeuk-Fai Edwin, Edge bead removal/spin rinse dry (EBR/SRD) module.
  101. Singh, Harmeet; Cooperberg, David; Vahedi, Vahid, Edge gas injection for critical dimension uniformity improvement.
  102. Landau Uziel ; D'Urso John J. ; Rear David B., Electro deposition chemistry.
  103. Yezdi Dordi ; Joe Stevens ; Roy Edwards ; Bob Lowrance ; Michael Sugarman ; Mark Denome, Electro-chemical deposition cell for face-up processing of single semiconductor substrates.
  104. Dordi Yezdi ; Olgado Donald J. ; Morad Ratson ; Hey Peter ; Denome Mark ; Sugarman Michael ; Lloyd Mark ; Stevens Joseph ; Marohl Dan ; Shin Ho Seon ; Ravinovich Eugene ; Cheung Robin ; Sinha Ashok K, Electro-chemical deposition system.
  105. Maclay G. Jordan ; Keyvani Darioush ; Lee Sung B.,KRX, Electrochemical sensors for gas detection.
  106. Dubin Valery M. ; Shacham-Diamand Yosef ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K., Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications.
  107. Shacham-Diamand Yosi ; Dubin Valery M. ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K., Electroless deposition equipment or apparatus and method of performing electroless deposition.
  108. Shacham-Diamand Yosi ; Nguyen Vinh ; Dubin Valery, Electroless deposition of metal films with spray processor.
  109. McConnell Christopher F. ; Verhaverbeke Steven, Electroless metal deposition of electronic components in an enclosable vessel.
  110. Uchida Hiroki,JPX ; Kiso Masayuki,JPX ; Nakamura Takayuki,JPX ; Kamitamari Tohru,JPX ; Susuki Rumiko,JPX ; Shimizu Koichiro,JPX, Electroless nickel plating solution and method.
  111. Mallory ; Jr. Glenn O. (Inglewood CA), Electroless nickel polyalloys.
  112. Inoue, Hiroaki; Nakamura, Kenji; Matsumoto, Moriji, Electroless plating liquid and semiconductor device.
  113. Semkow Krystyna W. ; O'Sullivan Eugene J., Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating.
  114. Bengston Jon E. (Newington CT), Electroless plating of nickel onto surfaces such as copper or fused tungston.
  115. Cane Frank N. (3058 Plumstead Way San Jose CA 95148), Electroless plating process for the manufacture of printed circuit boards.
  116. Goosey, Martin T.; Graves, John E.; Buch, Joachim; Poole, Mark A.; Hirst, Deborah; Holland, Rebecca, Electroless plating processes.
  117. Mallory ; Jr. Glenn O. (Los Angeles CA), Electrolessly plated product having a polymetallic catalytic film underlayer.
  118. Ikeda, Taro; Osada, Yuki; Kasai, Shigeru, Electromagnetic-radiation power-supply mechanism for exciting a coaxial waveguide by using first and second poles and a ring-shaped reflection portion.
  119. Jozef Brcka, Embedded plasma source for plasma density improvement.
  120. Hung, Hoiman (Raymond); Caulfield, Joseph P.; Shan, Hongqing; Rice, Michael; Collins, Kenneth S; Cui, Chunshi, Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon.
  121. Lam,Andrew; Kim,Yihwan; Kuppurao,Satheesh; Phan,See Eng; Lu,Xinliang; Kao,Chien Teh, Epitaxial deposition process and apparatus.
  122. Jingbao Liu ; Judy Wang ; Takehiko Komatsu ; Bryan Y Pu ; Kenny L Doan ; Claes Bjorkman ; Melody Chang ; Yunsang Kim ; Hongching Shan ; Ruiping Wang, Etch method using a dielectric etch chamber with expanded process window.
  123. Boiteux, Yves Pierre; Chen, Hui; Gregoratto, Ivano; Hsieh, Chang-Lin; Hung, Hoiman; Tang, Sum-Yee Betty, Etch process for dielectric materials comprising oxidized organo silane materials.
  124. Yoshikawa Stephanie A. ; Catabay Wilbur G., Etch process selective to cobalt silicide for formation of integrated circuit structures.
  125. Barnes Michael S. (San Francisco CA) Keller John H. (Poughkeepsie NY) Holber William M. (Boston MA) Cotler Tina J. (Newburgh NY) Chapple-Sokol Jonathan D. (Poughkeepsie NY) Podlesnik Dragan (New York, Etching of silicon dioxide selectively to silicon nitride and polysilicon.
  126. Keswick Peter (Fremont CA) Marks Jeffrey (San Jose CA), Etching titanium nitride using carbon-fluoride and carbon-oxide gas.
  127. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  128. Espiau, Frederick Matthew; Matloubian, Mehran, External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy.
  129. Doklan Raymond H. (Whitehall Township ; Lehigh County PA) Martin ; Jr. Edward P. (Bethlehem PA) Roy Pradip K. (Allentown PA) Shive Scott F. (Bethlehem PA) Sinha Ashok K. (Allentown PA), Fabricating a semiconductor device with low defect density oxide.
  130. Takagi, Toshio, Film deposition apparatus and film deposition method.
  131. Yuichi Wada JP; Hiroyuki Yarita JP; Hisashi Aida JP; Naomi Yoshida JP, Film deposition method and apparatus.
  132. Sakamoto, Hitoshi; Ueda, Noriaki; Sugino, Takashi, Film forming method and film forming device.
  133. Ronald A. Weimer ; John T. Moore, Films doped with carbon for use in integrated circuit technology.
  134. Hilgendorff Walter,DEX ; Kahn Gerhard,DEX ; Jordt Frauke,DEX, Filtration apparatus having channeled flow guide elements.
  135. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  136. John M. White ; Ernst Keller ; Wendell T. Blonigan, Flexibly suspended gas distribution manifold for plasma chamber.
  137. White, John M.; Keller, Ernst; Blonigan, Wendell T., Flexibly suspended gas distribution manifold for plasma chamber.
  138. Jose L. Arno ; Robert M. Vermeulen, Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases.
  139. Michael A. Todd, Fluorine-containing materials and processes.
  140. Homma Tetsuya (Tokyo JPX) Suzuki Mieko (Tokyo JPX), Forming multi-layered interconnections with fluorine compound treatment permitting selective deposition of insulator.
  141. Bencher, Christopher D.; Horioka, Keiji, Frequency doubling using spacer mask.
  142. Bayman, Atiye; Rahman, Md Sazzadur; Zhang, Weijie; van Schravendijk, Bart; Gauri, Vishal; Papasoulitotis, George D.; Singh, Vikram, Gap fill for high aspect ratio structures.
  143. Michael Kwan ; Eric Liu, Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD.
  144. Fangli Hao ; Rajinder Dhindsa, Gas distribution apparatus for semiconductor processing.
  145. Rajinder Dhindsa ; Fangli Hao ; Eric Lenz, Gas distribution apparatus for semiconductor processing.
  146. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  147. Huang, Zhisong; Sam, Jose Tong; Lenz, Eric H.; Dhindsa, Rajinder; Sadjadi, Reza, Gas distribution system having fast gas switching capabilities.
  148. Kim,Tae wan; Tolmachev,Yuri Nikolaevich; Ma,Dong joon; Navala,Sergiy Yakovlevich, Gas injection apparatus for semiconductor processing system.
  149. Ballance David S. ; Bierman Benjamin ; Tietz James V., Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween.
  150. Shigeru Kasai JP; Teruo Iwata JP; Taro Komiya JP; Tomihiro Yonenaga JP, Gas processing apparatus baffle member, and gas processing method.
  151. van de Ven Everhardus P. (Cupertino CA) Broadbent Eliot K. (San Jose CA) Benzing Jeffrey C. (San Jose CA) Chin Barry L. (Sunnyvale CA) Burkhart Christopher W. (San Jose CA), Gas-based substrate protection during processing.
  152. Blackwood Robert S. (Lubbock TX) Biggerstaff Rex L. (Lubbock TX) Clements L. Davis (Lincoln NE) Cleavelin C. Rinn (Lubbock TX), Gaseous process and apparatus for removing films from substrates.
  153. Guo, Jyh-Chyurn, Gate stack for high performance sub-micron CMOS devices.
  154. Nassau Kurt ; Coleman Thomas G. ; Hunter Charles Eric, Gemstones formed of silicon carbide with diamond coating.
  155. Chistyakov, Roman, Generation of uniformly-distributed plasma.
  156. Li, Dongqing; Chen, Xiaolin C.; Zhang, Lin, HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features.
  157. Tsuei, Lun; Sen, Soovo; Lee, Ju-Hyung; Rocha-Alvarez, Juan Carlos; Shmurun, Inna; Zhao, Maosheng; Kim, Troy; Venkataraman, Shankar, Heated gas distribution plate for a processing chamber.
  158. Semyon Sherstinsky ; Alison Gilliam ; Paul Smith ; Leonel A. Zuniga ; Ted Yoshidome ; Nitin Khurana ; Rod Mosely ; Umesh Madhav Kelkar ; Joseph Yudovsky ; Alan Popiolkowski, Heater for processing chamber.
  159. Zhao Jun ; Sajoto Talex ; Selyutin Leonid, Heater for use in substrate processing apparatus to deposit tungsten.
  160. Yudovsky, Joseph, Heater with detachable ceramic top plate.
  161. Van Cleemput Patrick A. ; Mountsier Thomas W., High aspect ratio gapfill process by using HDP.
  162. Ahmad, Farhan; Awdshiew, Michael; Jain, Alok; Kapoor, Bikram, High density plasma CVD process for gapfill into high aspect ratio features.
  163. Lin Chi-Fa,TWX, High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing proces.
  164. Narwankar Pravin ; Murugesh Laxman ; Sahin Turgut ; Orczyk Maciek ; Qiao Jianmin, High deposition rate recipe for low dielectric constant films.
  165. Wright, Jason, High productivity vapor processing system.
  166. Salvador P. Umotoy ; Steve H. Chiao ; Anh N. Nguyen ; Be V. Vo ; Joel Huston ; James J. Chen ; Lawrence Chung-Lai Lei, High temperature chemical vapor deposition chamber.
  167. Sajoto Talex ; Selyutin Leonid ; Zhao Jun ; Wolff Stefan, High temperature multi-layered alloy heater assembly and related methods.
  168. Tuqiang Ni ; Kenji Takeshita ; Thomas Choi, High temperature tungsten etching process.
  169. Papasouliotis George D. ; Chakravarti Ashima B. ; Conti Richard A. ; Economikos Laertis ; Van Cleemput Patrick A., High throughput chemical vapor deposition process capable of filling high aspect ratio structures.
  170. Chebi Robert P. (Austin TX) Mittal Sanjiv (Fremont CA), High throughput interlevel dielectric gap filling process.
  171. Nam,Jeong Hoon; Jeon,Jin Ho, High-density plasma (HDP) chemical vapor deposition (CVD) methods and methods of fabricating semiconductor devices employing the same.
  172. Papasouliotis, George D.; Gauri, Vishal; Tarafdar, Raihan M.; Singh, Vikram, High-density plasma process for filling high aspect ratio structures.
  173. Thadani, Kiran V.; Tang, Jing; Ingle, Nitin; Yang, Dongqing, High-temperature selective dry etch having reduced post-etch solid residue.
  174. Maher Joseph A. (South Hamilton MA) Kent Martin A. (Andover MA), Hollow-anode glow discharge apparatus.
  175. Kapoor, Bikram; Karim, M. Ziaul; Wang, Anchuan, Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology.
  176. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  177. Krishnaraj, Padmanabhan; Ionov, Pavel; Lai, Canfeng; Cox, Michael Santiago; Shamouilian, Shamouil, In situ application of etch back for improved deposition into high-aspect-ratio features.
  178. Beinglass Israel (Sunnyvale CA), In situ method for cleaning silicon surface and forming layer thereon in same chamber.
  179. Cheung Robin ; Carl Daniel A. ; Dordi Yezdi ; Hey Peter ; Morad Ratson ; Chen Liang-Yuh ; Smith Paul F. ; Sinha Ashok K., In-situ electroless copper seed layer enhancement in an electroplating system.
  180. Dietze, Gerald R., In-situ post epitaxial treatment process.
  181. Pan, Shaoher X.; Hanawa, Hiroji; Forster, John C.; Chen, Fusen, Inductive plasma loop enhancing magnetron sputtering.
  182. Redeker Fred C. ; Nowak Romuald ; Ishikawa Tetsuya ; Detrick Troy ; Pinson ; II Jay Dee, Inductively coupled HDP-CVD reactor.
  183. Banna, Samer; Todorow, Valentin N., Inductively coupled plasma reactor having RF phase control and methods of use thereof.
  184. Lakshmikanthan Jayant ; Stevens Joe, Inflatable compliant bladder assembly.
  185. Otsubo Toru (Fujisawa JPX) Yamaguchi Yasuhiro (Chigasaki JPX), Insulating film forming method for semiconductor device interconnection.
  186. Ahn, Jae-Young; Hyung, Yong-Woo; Kim, Young-Seok; Kang, Man-Sug, Insulating layers in semiconductor devices having a multi-layer nanolaminate structure of SiNx thin film and BN thin film and methods for forming the same.
  187. Bjorkman, Claes H.; Yu, Min Melissa; Shan, Hongquing; Cheung, David W.; Yau, Wai-Fan; Liu, Kuowei; Chapra, Nasreen Gazala; Yin, Gerald; Moghadam, Farhad K.; Huang, Judy H.; Yost, Dennis; Tang, Betty;, Integrated low K dielectrics and etch stops.
  188. Claes H. Bjorkman ; Min Melissa Yu ; Hongquing Shan ; David W. Cheung ; Wai-Fan Yau ; Kuowei Liu ; Nasreen Gazala Chapra ; Gerald Yin ; Farhad K. Moghadam ; Judy H. Huang ; Dennis Yost ; B, Integrated low K dielectrics and etch stops.
  189. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  190. Liu, Wei; Williams, Scott; Yuen, Stephen; Mui, David, Integrated shallow trench isolation approach.
  191. Karthik Janakiraman ; Kelly Fong ; Chen-An Chen ; Paul Le ; Rong Pan ; Shankar Venkataraman, Integration of remote plasma generator with semiconductor processing chamber.
  192. Lopatin, Sergey; Wang, Fei; Schonauer, Diana; Avanzino, Steven C., Interconnect structure formed in porous dielectric material with minimized degradation and electromigration.
  193. Simpson Cindy Reidsema, Interconnect structure in a semiconductor device and method of formation.
  194. McInerney Edward J. (Milpitas CA) Dornseif E. Ronald (Scotts Valley CA) Zetterquist Norman E. (Santa Cruz CA), Interlayer dielectric process.
  195. Andideh Ebrahim, Interlayer dielectric with a composite dielectric stack.
  196. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  197. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  198. Tang, Jing; Ingle, Nitin; Yang, Dongqing; Venkataraman, Shankar, Invertable pattern loading with dry etch.
  199. Sainty, Wayne G, Ion source.
  200. Loewenstein Lee M., Isotropic polysilicon plus nitride stripping.
  201. Wright, Marilyn I.; Bonser, Douglas J.; You, Lu; Hellig, Kay, L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials.
  202. Parrillo Louis C. (Austin TX) Poon Stephen S. (Austin TX), LDD CMOS process.
  203. Warren ; Jr. Joseph V. (Florence SC) Stanley Chester E. (Florence SC), Laser-plasma arc metal cutting apparatus.
  204. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  205. Carlson, David K.; Samir, Mehmet Tugrul; Myo, Nyi O., Liner assembly for chemical vapor deposition chamber.
  206. Li, Weimin, Low dielectric constant material for integrated circuit fabrication.
  207. Weimin Li, Low dielectric constant material for integrated circuit fabrication.
  208. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Low power method of depositing a low k dielectric with organo silane.
  209. Gambino, Jeffrey P.; Mandelman, Jack; Tonti, William R., Low-K gate spacers by fluorine implantation.
  210. Scott Luning ; David Wu ; Khanh Tran, Low-K sub spacer pocket formation for gate capacitance reduction.
  211. Moslehi Mehrdad M. (Palo Alto CA) Fu Chi Y. (San Francisco County CA) Saraswat Krishna (Santa Clara County CA), Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge.
  212. Moslehi Mehrdad M. (Dallas TX), Low-temperature in-situ dry cleaning process for semiconductor wafer.
  213. Moslehi Mehrdad M. (Dallas TX), Low-temperature in-situ dry cleaning process for semiconductor wafers.
  214. Yu Bin, MOS transistor with assisted-gates and ultra-shallow "Psuedo" source and drain extensions for ultra-large-scale integration.
  215. Tuttle, Mark E.; Drewes, Joel A., MRAM sense layer area control.
  216. Jung, Dong Ha; Satoh, Kimihiro; Zhang, Jing; Zhou, Yuchen; Huai, Yiming, MTJ MRAM with stud patterning.
  217. Schwarz, Benjamin C. E.; Ounadjela, Kamel, Magnetic tunneling junction configuration and a method for making the same.
  218. Ward Eric R.,CHX ; Volrath Sandra, Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms.
  219. Sergey D. Lopatin ; Shekhar Pramanick ; Dirk Brown, Manufacturing method for semiconductor metalization barrier.
  220. Yamazaki Shunpei,JPX, Manufacturing method of a semiconductor device.
  221. Yanagida Toshiharu,JPX, Manufacturing method of semiconductor device.
  222. Weidman,Timothy W.; Lubomirsky,Dmitry, Measurement techniques for controlling aspects of a electroless deposition process.
  223. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  224. Liu, Yijun; Shinriki, Hiroshi; Magara, Takashi, Metal oxide film formation method and apparatus.
  225. Bardos Ladislav,SEX ; Barankova Hana,SEX ; Berg Soren,SEX, Method and an apparatus for generation of a discharge in own vapors of a radio frequency electrode for sustained self-sp.
  226. Zhao,Maosheng; Rocha Alvarez,Juan Carlos; Shmurun,Inna; Sen,Soova; Lim,Mao D.; Venkataraman,Shankar; Lee,Ju Hyung, Method and apparatus for cleaning a CVD chamber.
  227. Sanchez, Errol Antonio C.; Swenberg, Johanes; Carlson, David K.; Doherty, Roisin L., Method and apparatus for cleaning a substrate surface.
  228. Sanchez, Errol Antonio C.; Swenberg, Johanes; Carlson, David K.; Doherty, Roisin L., Method and apparatus for cleaning a substrate surface.
  229. Ye Yan ; Ma Diana Xiaobing ; Yin Gerald Zheyao ; Prasad Keshav ; Siegel Mark ; Mak Steve S. Y. ; Martinez Paul ; Papanu James S. ; Lu Danny Chien, Method and apparatus for cleaning by-products from plasma chamber surfaces.
  230. Mandrekar Tushar ; Tolia Anish ; Khurana Nitin, Method and apparatus for controlling cooling and heating fluids for a gas distribution plate.
  231. Kakehi Yutaka (Hikari JPX) Nakazato Norio (Kudamatsu JPX) Fukushima Yoshimasa (Hikari JPX) Hiratsuka Kousai (Kudamatsu JPX) Shibata Fumio (Kudamatsu JPX) Yamamoto Noriaki (Kudamatsu JPX) Tsubone Tsun, Method and apparatus for controlling sample temperature.
  232. Hosokawa Akihiro (Cupertino CA), Method and apparatus for cooling semiconductor wafers.
  233. Robles Stuardo, Method and apparatus for depositing a multilayered low dielectric constant film.
  234. Hong Soonil ; Ryu Choon Kun ; Nault Michael P. ; Singh Kaushal K. ; Lam Anthony ; Rana Virendra V. S. ; Conners Andrew, Method and apparatus for improving gap-fill capability using chemical and physical etchbacks.
  235. Hong Soonil ; Ryu Choon Kun ; Nault Michael P. ; Singh Kaushal K. ; Lam Anthony ; Rana Virendra V. S. ; Conners Andrew, Method and apparatus for improving gap-fill capability using chemical and physical etchbacks.
  236. Auda Bernard (Montlhery FRX), Method and apparatus for in-situ and on-line monitoring of trench formation process.
  237. Merry,Walter R.; Shang,Quanyuan; White,John M., Method and apparatus for in-situ film stack processing.
  238. Foster Robert F. (Phoenix AZ) Hillman Joseph T. (Scottsdale AZ) Arora Rikhit (Mesa AZ), Method and apparatus for low temperature deposition of CVD and PECVD films.
  239. Zhang Jie (East Lansing MI) Asmussen Jes (Okemos MI), Method and apparatus for plasma treatment of a surface.
  240. Joseph Yudovsky ; Tom Madar ; Salvador Umotoy ; Son Ngoc Trinh ; Lawrence C. Lei ; Anzhong (Andrew) Chang ; Xiaoxiong (John) Yuan, Method and apparatus for preventing edge deposition.
  241. Huang, Kegang; Huang, Judy H; Xu, Ping, Method and apparatus for treating low k dielectric layers to reduce diffusion.
  242. Vikram Pavate ; Murali Narasimhan, Method and apparatus of forming a sputtered doped seed layer.
  243. Minami, Takashi; Ishihara, Shigenori, Method and apparatus of plasma treatment.
  244. Goldstein Rachel (Givataim CT ILX) Kukanskis Peter E. (Watertown CT) Grunwald John J. (New Haven CT), Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence.
  245. Förnsel, Peter; Buske, Christian; Hartmann, Uwe; Baalmann, Alfred; Ellinghorst, Guido; Vissing, Klaus D, Method and device for plasma coating surfaces.
  246. Khandan, Shahab; Fulmer, Christopher T.; Washington, Lori D.; Diniz, Herman P.; Scudder, Lance A.; Samoilov, Arkadii V., Method for CVD process control for enhancing device performance.
  247. Gellrich, Norbert; Kirchmann, Rainer, Method for anisotropic plasma-chemical dry etching of silicon nitride layers using a gas mixture containing fluorine.
  248. Shiau Guang-Jye ; Herz Paul ; Deng Xian-Can ; Ma Xiaobing Diana, Method for anisotropically etching tungsten using SF.sub.6, CHF.sub.3, and N.sub.2.
  249. Kurihara Kazuaki (Atsugi JPX) Sasaki Kenichi (Atsugi JPX) Kawarada Motonobu (Atsugi JPX) Koshino Nagaaki (Yokohama JPX), Method for arc discharge plasma vapor deposition of diamond.
  250. Davis Cecil J. (Greenville TX) Matthews Robert T. (Plano TX) Jucha Rhett B. (Celeste TX) Loewenstein Lee M. (Plano TX), Method for cleanup processing chamber and vacuum process module.
  251. Qiao Jianmin ; Thekdi Sanjay, Method for conditioning a plasma etch chamber.
  252. Wu Cherng-Dean (Richfield MN), Method for controlling electroless magnetic plating.
  253. Iyer Ravi ; Vasilyeva Irina, Method for depositing a tungsten layer on silicon.
  254. Fairbairn, Kevin; Rice, Michael; Weidman, Timothy; Ngai, Christopher S; Latchford, Ian Scot; Bencher, Christopher Dennis; Wang, Yuxiang May, Method for depositing an amorphous carbon layer.
  255. Munro, Jeffrey C.; Nemani, Srinivas D., Method for depositing and curing low-k films for gapfill and conformal film applications.
  256. Kwok Kurt (Mountain View CA) Robertson Robert (Palo Alto CA), Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity.
  257. Rossman Kent, Method for deposition of a conformal layer on a substrate.
  258. Chen, Linlin; Wilson, Gregory J.; McHugh, Paul R.; Weaver, Robert A.; Ritzdorf, Thomas L., Method for electrochemically depositing metal on a semiconductor workpiece.
  259. Ehrsam Robert ; Raymond John L., Method for electroless nickel plating of metal substrates.
  260. Mui David ; Podlesnik Dragan ; Liu Wei ; Lee Gene ; Kim Nam-Hun ; Chinn Jeff, Method for etching a trench having rounded top and bottom corners in a silicon substrate.
  261. Kawamura Kouhei (Yamanashi JPX), Method for etching an SiO2 film.
  262. Davis Cecil J. (Greenville TX) Loewenstein Lee M. (Plano TX) Jucha Rhett B. (Celeste TX), Method for etching an aluminum film doped with silicon.
  263. Nguyen Son V. (Hopewell Junction NY) Dobuzinsky David M. (Hopewell Junction NY), Method for etching boron nitride.
  264. Pu Bryan ; Shan Hongching ; Welch Michael, Method for etching dielectric layers with high selectivity and low microloading.
  265. Yan, Chun; Hsueh, Gary C.; Ye, Yan; Ma, Diana Xiaobing, Method for etching low k dielectrics.
  266. Loewenstein Lee M. (Plano TX), Method for etching silicon nitride.
  267. Jucha Rhett B. (Celeste TX) Davis Cecil J. (Greenville TX) Tang Tom (Dallas TX) Loewenstein Lee M. (Plano TX), Method for etching titanium nitride local interconnects.
  268. Liu, Wei; Lill, Thorsten B.; Mui, David S. L.; Bencher, Christopher Dennis, Method for fabricating a gate structure of a field effect transistor.
  269. Homma Tetsuya (Tokyo JPX), Method for fabricating a semiconductor device having a multi-layered interconnection structure.
  270. Dubin Valery ; Ting Chiu, Method for fabricating copper-aluminum metallization.
  271. Bengston Jon E. (Newington CT) Larson Gary B. (Cheshire CT), Method for fabricating printed circuits.
  272. Kim Sun Oo,KRX ; Kim Han Min,KRX, Method for fabricating semiconductor devices having an HDP-CVD oxide layer as a passivation layer.
  273. Cheung Robin ; Lopatin Sergey, Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure.
  274. Dubin Valery M., Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure.
  275. Sambucetti Carlos Juan ; Rubino Judith Marie ; Edelstein Daniel Charles ; Cabral ; Jr. Cyryl ; Walker George Frederick ; Gaudiello John G ; Wildman Horatio Seymour, Method for forming Co-W-P-Au films.
  276. Okano Haruo (Tokyo JPX) Noguchi Sadahisa (Fuchu JPX) Sekine Makoto (Yokohama JPX), Method for forming a film on a substrate by activating a reactive gas.
  277. Okano Haruo (Tokyo JPX) Noguchi Sadahisa (Tokyo JPX) Sekine Makoto (Yokohama JPX), Method for forming a film on a substrate by activating a reactive gas.
  278. Sheng David Y. (Austin TX) Kosa Yasunobu (Austin TX) Urquhart Andrew J. (Pflugerville TX) Cullen Mark J. (Austin TX), Method for forming a lightly-doped drain (LDD) structure in a semiconductor device.
  279. Arghavani,Reza; MacWilliams,Ken; M'Saad,Hichem, Method for forming a low thermal budget spacer.
  280. Lin Jiunn-Hsien,TWX ; Kuo Wen-Pin,TWX, Method for forming conductive line.
  281. Tsai Meng-Jin,TWX ; Lur Water,TWX ; Chen Chin-Lai,TWX, Method for forming shallow trench isolation.
  282. Jung, Tae-Woo, Method for forming trench and method for fabricating semiconductor device using the same.
  283. Kao,Chien Teh; Chou,Jing Pei (Connie); Lai,Chiukin (Steven); Umotoy,Sal; Huston,Joel M.; Trinh,Son; Chang,Mei; Yuan,Xiaoxiong (John); Chang,Yu; Lu,Xinliang; Wang,Wei W.; Phan,See Eng, Method for front end of line fabrication.
  284. Allen Russell D. ; McFeely F. Read ; Noyan Cevdet I. ; Yurkas John J., Method for improving the morphology of refractory metal thin films.
  285. Hey H. Peter W ; Carlson David, Method for in-situ cleaning of native oxide from silicon surfaces.
  286. Abatchev,Mirzafer K.; Sandhu,Gurtej; Tran,Luan; Rericha,William T.; Durcan,D. Mark, Method for integrated circuit fabrication using pitch multiplication.
  287. Skotnicki, Thomas; Jurczak, Malgorzata, Method for making a semiconductor device comprising a stack alternately consisting of silicon layers and dielectric material layers.
  288. Horak, David Vaclav; Koburger, III, Charles William; Mitchell, Peter H.; Nesbit, Larry Alan, Method for manufacturing a multi-level interconnect structure.
  289. Saito,Go; Nishida,Toshiaki; Shimomura,Takahiro; Arase,Takao, Method for manufacturing semiconductor devices.
  290. Lam James ; Hodul David, Method for microwave plasma substrate heating.
  291. Tao Hun-Jan,TWX ; Tsai Chia-Shiung,TWX, Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher.
  292. Chan Lap ; Ng Hou Tee,SGX, Method for planarized interconnect vias using electroless plating and CMP.
  293. Langan John G. (Wescosville PA) Beck Scott E. (Kutztown PA) Felker Brian S. (Allentown PA), Method for plasma etching or cleaning with diluted NF3.
  294. Jucha Rhett B. (Celeste TX) Davis Cecil J. (Greenville TX) Jones John I. (Plano TX), Method for plasma etching tungsten.
  295. Okumura Tomohiro,JPX ; Nakayama Ichiro,JPX, Method for plasma processing.
  296. Koinuma Hideomi (Tokyo JPX) Shiraishi Tadashi (Kanagawa JPX) Inoue Tohru (Niigaka JPX) Inomata Kiyoto (Kanagawa JPX) Hayashi Shigenori (Kanagawa JPX) Miyanaga Akiharu (Kanagawa JPX) Yamazaki Shunpei , Method for plasma processing and apparatus for plasma processing.
  297. Lee,Jae Suk, Method for preventing a metal corrosion in a semiconductor device.
  298. Arghavani,Reza; Kwan,Michael Chiu; Xia,Li Qun; Yim,Kang Sub, Method for producing gate stack sidewall spacers.
  299. Strongin Myron (Center Moriches NY) Ruckman Mark (Middle Island NY) Strongin Daniel (Port Jefferson NY), Method for producing high quality thin layer films on substrates.
  300. Lowrey Tyler A. (Boise ID) Chance Randal W. (Boise ID) Cathey David A. (Boise ID), Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process.
  301. Molloy Simon John ; Vitkavage Daniel Joseph, Method for removing etching residues and contaminants.
  302. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  303. Kim Sung C. (Boise ID) Meikle Scott (Boise ID), Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP).
  304. Zhao Jun ; Luo Lee ; Jin Xiao Liang, Method for substrate processing with improved throughput and yield.
  305. Ravi Kramadhati V. (Atherton CA) Orczyk Maciek (Cupertino CA), Method for the stabilization of halogen-doped films through the use of multiple sealing layers.
  306. Koemtzopoulos C. Robert ; Kozakevich Felix, Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers.
  307. An, Jae-Hyuck, Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices.
  308. Hausmann Gilbert, Method of and apparatus for restoring a support surface in a semiconductor wafer processing system.
  309. Papasouliotis, George D.; Tas, Robert D., Method of chemical modification of structure topography.
  310. Danny Chien Lu ; Allen Zhao ; Peter Hsieh ; Hong Shih ; Li Xu ; Yan Ye, Method of cleaning a semiconductor device processing chamber after a copper etch process.
  311. Brett C. Richardson ; Duane Outka, Method of cleaning and conditioning plasma reaction chamber.
  312. Choi, Soo Young; White, John M.; Wang, Qunhua; Park, Beom Soo, Method of controlling the film properties of PECVD-deposited thin films.
  313. Huggins Alan H. ; MacPherson John, Method of customizing integrated circuits by selective secondary deposition of layer interconnect material.
  314. Gaillard, Frederic; Xia, Li-Qun; Lim, Tian-Hoe; Yieh, Ellie; Yau, Wai-Fan; Jeng, Shin-Puu; Liu, Kuowei; Lu, Yung-Cheng, Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition.
  315. Nemani, Srinivas D; Xia, Li-Qun; Sugiarto, Dian; Yieh, Ellie; Xu, Ping; Campana-Schmitt, Francimar; Lee, Jia, Method of depositing dielectric films.
  316. Campana, Francimar; Nemani, Srinivas; Chapin, Michael; Venkataraman, Shankar, Method of depositing low dielectric constant silicon carbide layers.
  317. Chwan-Ying Lee TW; Tzuen-Hsi Huang TW, Method of electroless plating copper on nitride barrier.
  318. Xu, Ping; Xia, Li-Qun; Dworkin, Larry A.; Naik, Mehul, Method of eliminating photoresist poisoning in damascene applications.
  319. Chiou Herng-Der ; Lue Ping-Chang, Method of etching a trench into a semiconductor substrate.
  320. Nulty James E. (San Jose CA) Trammel Pamela S. (San Jose CA), Method of etching an oxide layer.
  321. Ye Yan ; Ionov Pavel ; Zhao Allen ; Hsieh Peter Chang-Lin ; Ma Diana Xiaobing ; Yan Chun ; Yuan Jie, Method of etching patterned layers useful as masking during subsequent etching or for damascene structures.
  322. Tadashi Oshima JP, Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas.
  323. Jimbo Sadayuki ; Ohiwa Tokuhisa ; Mori Haruki,JPX ; Kobayashi Akira,JPX ; Shinmura Tadashi,JPX ; Taniguchi Yasuyuki,JPX, Method of etching silicon nitride film.
  324. Cha Cher Liang,SGX ; See Alex,SGX ; Chan Lap, Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer.
  325. Wu Shye-Lin,TWX, Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells.
  326. Makowiecki Daniel M. ; Jankowski Alan F., Method of fabricating boron containing coatings.
  327. Lee, Hyosan; Yoon, Boun; Lee, Kuntack; Kim, Donghyun; Kang, Daehyuk; Park, Imsoo; Kim, Youngok; Kim, Young-Hoo; Bae, Sang Won, Method of fabricating nonvolatile memory device.
  328. Shimizu, Akira; Fukuda, Hideaki; Kawano, Baiei; Sato, Kazuo, Method of film deposition using single-wafer-processing type CVD.
  329. Lopatin Sergey ; Achuthan Krishnashree, Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed.
  330. Qian Lingqian (San Jose CA) Schmidt Melvin C. (San Jose CA) Nobinger Glenn L. (Santa Clara CA), Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition.
  331. Kohmura Yukio (Chiba JPX) Ishida Yoshinori (Ichihara JPX) Nishimoto Takuya (Yokohama JPX), Method of forming a thin film by plasma CVD and apapratus for forming a thin film.
  332. Narita Tomonori (Tokyo JPX), Method of forming conductive layer including removal of native oxide.
  333. Nogami Takeshi ; Lopatin Sergey ; Joo Young-Chang, Method of forming copper/copper alloy interconnection with reduced electromigration.
  334. Pryor Roger W. (Bloomfield Township MI), Method of forming cubic boron nitride films.
  335. Wilk Glen D. ; Summerfelt Scott R., Method of forming dual metal gate structures or CMOS devices.
  336. Okabe, Ichiro; Arai, Hiroki, Method of forming fine pattern.
  337. Homma Tetsuya (Tokyo JPX), Method of forming silicon oxide film containing fluorine.
  338. Liu Chih-Chien,TWX ; Wu Juan-Yuan,TWX ; Lur Water,TWX ; Sun Shih-Wei,TWX, Method of gap filling.
  339. Fulford ; Jr. H. Jim, Method of making IGFETs in densely and sparsely populated areas of a substrate.
  340. Akram Salman ; Futrell John R. C. ; McDonald Steven M., Method of making a metallized recess in a substrate.
  341. Pellerin John G. ; Werner Thomas,DEX, Method of making dual damascene conductive interconnections and integrated circuit device comprising same.
  342. Leu, Jihperng; Thomas, Christopher D., Method of making semiconductor device using an interconnect.
  343. Chung, Seung-pil; Chi, Kyeong-koo; Kim, Ji-soo; Chu, Chang-woong; Seo, Sang-hun, Method of manufacturing a contact of a semiconductor device using cluster apparatus having at least one plasma pretreatment module.
  344. Deshpande, Sarin A.; Aggarwal, Sanjeev, Method of manufacturing a magnetoresistive-based device.
  345. Sanders Jozef A. M. (Sunnyvale CA) Sanders Franciscus H. M. (Eindhoven NLX) Kalter Hendrikus (Eindhoven CA NLX) van de Ven Everhardus P. G. T. (Sunnyvale CA), Method of manufacturing a semiconductor device.
  346. Yamazaki Shunpei,JPX ; Sakama Mitsunori,JPX ; Fukada Takeshi,JPX, Method of manufacturing a semiconductor device.
  347. Hori Masaru (Yokohama JPX) Itoh Masamitsu (Yokohama JPX), Method of manufacturing an X-ray exposure mask and device for controlling the internal stress of thin films.
  348. Bachmann Peter K. (Aachen DEX), Method of manufacturing fluorine-doped optical fibers.
  349. Matsuda Tetsuo (Kanagawa JPX) Okano Haruo (Tokyo JPX), Method of manufacturing semiconductor devices.
  350. Nishiyama Yukio (Yokohama JPX) Nakata Rempei (Kawasaki JPX) Hayasaka Nobuo (Yokosuka JPX) Okano Haruo (Tokyo JPX) Aoki Riichirou (Tokyo JPX) Nagamatsu Takahito (Kawasaki JPX) Satoh Akemi (Sagamihara , Method of manufacturing silicon oxide film containing fluorine.
  351. Lopatin Sergey ; Nogami Takeshi ; Pramanik Shekhar, Method of metal/polysilicon gate formation in a field effect transistor.
  352. Xu, Songlin; Holland, John; Qian, Xueyu, Method of operating a dual chamber reactor with neutral density decoupled from ion density.
  353. Nishino Hirotaka (Yokohama JPX) Hayasaka Nobuo (Yokohama JPX) Okano Haruo (Tokyo JPX), Method of oxide etching with condensed plasma reaction product.
  354. Nasser-Faili Firooz ; Herb John A. ; Monreno Miguel A., Method of polishing CVD diamond films by oxygen plasma.
  355. Mallory ; Jr. Glenn O. (Los Angeles CA), Method of preparing substrate surface for electroless plating and products produced thereby.
  356. Chen, Huajie; Mocuta, Dan M.; Murphy, Richard J.; Bedell, Stephan W.; Sadana, Devendra K., Method of preventing surface roughening during hydrogen prebake of SiGe substrates.
  357. Fathauer Robert W. (Phoenix AZ) George Thomas (La Crescenta CA) Jones Eric W. (Los Angeles CA), Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices.
  358. Chung,Seung pil; Chang,Kyu whan; Lee,Sun jung; Lee,Kun tack; Park,Im soo; Lee,Kwang wook; Lee,Moon hee, Method of removing oxide layer and semiconductor manufacturing apparatus for removing oxide layer.
  359. Cathey David A. (Boise ID) Rolfson J. Brett (Boise ID), Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers.
  360. Jerbic Chris (Fremont CA), Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation.
  361. Vassiliev Vladislav,SGX, Method of silicon oxide and silicon glass films deposition.
  362. Joerg Dreybrodt DE; Dirk Drescher DE; Ralf Zedlitz DE; Stephan Wege DE, Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases.
  363. Cheung, Robin; Dordi, Yezdi; Tseng, Jennifer, Method of treating a substrate.
  364. Test, Howard R., Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process.
  365. Doan Trung T. (Boise ID) Tuttle Mark E. (Boise ID), Method to form a low resistant bond pad interconnect.
  366. Uzoh Cyprian Emeka ; Greco Stephen Edward, Method to selectively fill recesses with conductive metal.
  367. Blalock Guy T. (Boise ID) Doan Trung T. (Boise ID), Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage.
  368. Fong Gary ; Xia Li-Qun ; Nemani Srinivas ; Yieh Ellie, Methods and apparatus for cleaning surfaces in a substrate processing system.
  369. Patrick Roger ; Williams Norman, Methods and apparatus for controlling ion energy and plasma density in a plasma processing system.
  370. Abraham Susan C., Methods and apparatus for etching semiconductor wafers.
  371. M'Saad Hichem,FRX, Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications.
  372. Zarowin Charles B. (Rowayton CT) Bollinger L. David (Ridgefield CT), Methods and apparatus for generating a plasma for “downstream”rapid shaping of surfaces of substrates and films.
  373. Fong Gary ; Chang Fong ; Nguyen Long, Methods and apparatus for pre-stabilized plasma generation for microwave clean applications.
  374. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  375. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  376. Ling, Mang-Mang; Kang, Sean S.; Pender, Jeremiah T. P.; Nemani, Srinivas D.; Howard, Bradley, Methods for etching an etching stop layer utilizing a cyclical etching process.
  377. Pirkle David R., Methods for filling trenches in a semiconductor wafer.
  378. Fucsko,Janos; Waldo,Grady S.; Torek,Kevin J.; Li,Li, Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum.
  379. Moore John T. ; Blalock Guy T. ; DeBoer Scott Jeffrey, Methods of forming carbon-containing layers.
  380. Hasei,Hironori; Kito,Satoshi, Micro lens and fabrication method of micro lens, optical device, optical transmitter, laser printer head, and laser printer.
  381. Zhao, Bin, Microelectronic air-gap structures and methods of forming the same.
  382. Kloiber Allan J. (Marshall Township ; Allegheny County PA) Bubien Gary G. (Center PA) Osmanski Gerald S. (Brighton Township ; Beaver County PA), Modular apparatus and method for surface treatment of parts with liquid baths.
  383. Liu, Xinye; Lai, Chiukin Steven, Modulating etch selectivity and etch rate of silicon nitride thin films.
  384. Maydan Dan (Los Altos Hills CA) Somekh Sasson (Redwood City CA) Wang David N. (Cupertino CA) Cheng David (San Jose CA) Toshima Masato (San Jose CA) Harari Isaac (Mountain View CA) Hoppe Peter D. (Sun, Multi-chamber integrated process system.
  385. Burrows, Brian H.; Tam, Alexander; Stevens, Ronald; Choi, Kenric T.; Felsch, James D.; Grayson, Jacob; Acharya, Sumedh; Nijhawan, Sandeep; Washington, Lori D.; Myo, Nyi O., Multi-gas straight channel showerhead.
  386. Liu Chih-Chien,TWX ; Chen Kuen-Jian,TWX ; Chen Yu-Hao,TWX ; Wu J. Y.,TWX ; Lur Water,TWX ; Sun Shih-Wei,TWX, Multi-step high density plasma chemical vapor deposition process.
  387. Hanawa Hiroji ; Ishikawa Tetsuya ; Wong Manus ; Li Shijian ; Niazi Kaveh ; Smyth Kenneth ; Redeker Fred C. ; Detrick Troy ; Pinson ; II Jay Dee, Multi-zone RF inductively coupled source configuration.
  388. Moslehi Mehrdad M. (Dallas TX), Multi-zone plasma processing method and apparatus.
  389. Fairbairn Kevin ; Sinha Ashok, Multideck wafer processing system.
  390. Chen Chao-Cheng,TWX, Multiple etch method for forming residue free patterned hard mask layer.
  391. Li Weimin ; Sandhu Gurtej S., Multiple step methods for forming conformal layers.
  392. Xia, Li-Qun; Yieh, Ellie, Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill.
  393. Mitchell, Glenn; Torres, Jr., Robert; Seymour, Adam, NF3 chamber clean additive.
  394. Yu Chen-Hua,TWX, Nitride-oxide sidewall spacer for salicide formation.
  395. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  396. Cain John L. (Schertz TX), Optimization of dry etching through the control of helium backside pressure.
  397. Lin, Li-Te; Chao, Li-Chih; Tsai, Chia-Shiung, Organic low K dielectric etch with NH3 chemistry.
  398. Gilton Terry L. ; Korn David A., Oxide etch and method of etching.
  399. Gardella ; Jr. Joseph A. (Buffalo NY) Vargo Terrance G. (Buffalo NY), Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies.
  400. Han Qingyuan ; Berry Ivan ; Sakthivel Palani ; Ruffin Ricky ; Dahimene Mammoud, Oxygen free plasma stripping process.
  401. Smith Patricia B., Oxygen-free, dry plasma process for polymer removal.
  402. Dobuzinsky David M. (Hopewell Junction NY) Matsuda Tetsuo (Poughkeepsie NY) Nguyen Son V. (Hopewell Junction NY) Ryan James G. (Newton CT) Shapiro Michael (Beacon NY), PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element.
  403. Jirström, Karin; Uhlén, Mathias; Pontén, Fredrik, PODXL protein in colorectal cancer.
  404. Kaja Suryanarayana (Hopewell Junction NY) Mukherjee Shyama P. (Hopewell Junction NY) O\Sullivan Eugene J. (Upper Nyack NY) Paunovic Milan (Port Washington NY), Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electrol.
  405. Hori, Masaru; Kabe, Yoshiro; Shiozawa, Toshihiko; Kitagawa, Junichi, Pattern forming method and semiconductor device manufacturing method.
  406. Fodor Mark A. (Los Gatos CA) Bercaw Craig A. (Sunnyvale CA) Dornfest Charles (Fremont CA), Patterned susceptor to reduce electrostatic force in a CVD chamber.
  407. Kim Sang-ho,KRX ; Lim Tae-hyung,KRX, Pedestal with self retaining sealing ring for semiconductor device etching system.
  408. Tepman Avi (Cupertino CA) Grunes Howard (Santa Cruz CA) Andrews Dana (Mountain View CA), Physical vapor deposition clamping mechanism and heater/cooler.
  409. Iyer Ravi, Planarization using plasma oxidized amorphous silicon.
  410. Murao Yukinobu (Tokyo JPX), Planarized interlayer insulating film formed of stacked BPSG film and ozone-teos NSG film in semiconductor device and me.
  411. Yuda, Katsuhisa; Ikemoto, Manabu, Plasma CVD apparatus for large area CVD film.
  412. Okamura Nobuyuki (Kawasaki JPX) Yamagami Atsushi (Kawasaki JPX) Takaki Satoshi (Komae JPX), Plasma CVD process using a very-high-frequency and plasma CVD apparatus.
  413. Kim, Jae-Ho; Park, Sang-Joon, Plasma chemical vapor deposition apparatus.
  414. Chen Ching-Hwa (Milpitas CA) Arnett David (Fremont CA) Liu David (San Jose CA), Plasma cleaning method for removing residues in a plasma treatment chamber.
  415. Taylor Brad ; Sahin Turgut ; Dornfest Charles ; Redeker Fritz, Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field.
  416. Loewenhardt, Peter K.; Zawalski, Wade, Plasma energy control by inducing plasma instability.
  417. Tobe Ryoki,JPX ; Sasaki Masao,JPX ; Sekiguchi Atsushi,JPX ; Takagi Ken-ichi,JPX, Plasma enhanced CVD apparatus and process, and dry etching apparatus and process.
  418. Chia-Shiun Tsai TW; Chao-Cheng Chen TW; Hun-Jan Tao TW, Plasma etch method for forming patterned oxygen containing plasma etchable layer.
  419. Mu Xiao-Chun (Saratoga CA) Multani Jagir (Fremont CA), Plasma etching process for refractory metal vias.
  420. Nagahata Kazunori,JPX ; Nagaseki Kazuya,JPX, Plasma processing apparatus.
  421. Miyanaga Akiharu (Kanagawa JPX) Inoue Tohru (Kanagawa JPX) Yamazaki Shunpei (Tokyo JPX), Plasma processing method.
  422. Tomohiro Okumura JP; Masaki Suzuki JP; Takuya Matsui JP, Plasma processing method and apparatus.
  423. Kugimiya Katsuhisa,JPX ; Nishizawa Takanori,JPX ; Tajima Daisuke,JPX, Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen.
  424. Koshiishi Akira,JPX ; Ooyabu Jun,JPX, Plasma processing system.
  425. Celestino Salvatore A. (Novato CA) Gorin Georges J. (Pinole CA) Hilliker Stephen E. (Petaluma CA) Powell Gary B. (Petaluma CA), Plasma reactor apparatus.
  426. Gorin Georges J. (Emeryville CA) Hoog Josef T. (Novato CA), Plasma reactor apparatus.
  427. Lee Young H. (Somers NY), Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclot.
  428. Bera, Kallol; Rauf, Shahid, Plasma reactor gas distribution plate with radially distributed path splitting manifold.
  429. Hoog Josef T. (Novato CA) Mitzel James W. (Richmond CA), Plasma reactor removable insert.
  430. Redeker Fred C. ; Ishikawa Tetsuya, Plasma source for HDP-CVD chamber.
  431. Yoshida, Kazuto, Plasma treatment apparatus.
  432. Iwamura Naoyuki,JPX ; Aoki Yasutsugu,JPX, Plasma treatment apparatus and method.
  433. Tyler, James S.; Getty, James D.; Condrashoff, Robert S.; Bolden, II, Thomas V., Plasma treatment system.
  434. Huang, Judy, Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers.
  435. Choi, Soo Young; Park, Beom Soo; White, John M.; Tiner, Robin L., Plasma uniformity control by gas diffuser curvature.
  436. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, Plasma-enhanced CVD process using TEOS for depositing silicon oxide.
  437. Lee, Wei Ti; Guo, Ted, Plasma-enhanced chemical vapor deposition of a metal nitride layer.
  438. Sandhu Gurtej S. ; Westmoreland Donald L., Plasmaless dry contact cleaning method using interhalogen compounds.
  439. Ting Chiu ; Dubin Valery, Plated copper interconnect structure.
  440. Mahawili Imad, Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing.
  441. Reynolds H. Vincent, Plating cell with horizontal product load mechanism.
  442. Reynolds H. Vincent, Plating cell with rotary wiper and megasonic transducer.
  443. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  444. Dalton, Timothy J.; Hummel, John P., Post metalization chem-mech polishing dielectric etch.
  445. Cui,Zhenjiang; Chang,Josephine J.; Demos,Alexandros T.; Arghavani,Reza; Witty,Derek R.; Armer,Helen R.; Dixit,Girish A.; M'Saad,Hichem, Post treatment of low k dielectric films.
  446. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  447. Shinagawa Keisuke (Kawasaki JPX) Fujimura Shuzo (Tokyo JPX), Process and apparatus for ashing treatment.
  448. Arkadii V. Samoilov ; Dale R. DuBois ; Bradley M. Curelop ; David R. Carlson ; Paul B. Comita, Process and apparatus for cleaning a silicon surface.
  449. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  450. Chang Mei (Cupertino CA) Leung Cissy (Fremont CA) Wang David N. (Saratoga CA) Cheng David (San Jose CA), Process for CVD deposition of tungsten layer on semiconductor wafer.
  451. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburgh CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San , Process for PECVD of silicon oxide using TEOS decomposition.
  452. Uchida Hiroki (Hirakata JPX) Kubo Motonobu (Hirakata JPX) Kiso Masayuki (Hirakata JPX) Hotta Teruyuki (Hirakata JPX) Kamitamari Tohru (Hirakata JPX), Process for electroless plating tin, lead or tin-lead alloy.
  453. Chandrachood, Madhavi R.; Sandlin, Nicole; Lee, Yung-Hee Yvette; Ding, Jian, Process for etching a metal layer suitable for use in photomask fabrication.
  454. Hung, Hoiman (Raymond); Caulfield, Joseph P.; Shan, Hongqing; Wang, Ruiping; Yin, Gerald Z., Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window.
  455. Foo Pang-Dow (Berkeley Heights NJ) Pai Chien-Shing (Bridgewater NJ), Process for fabricating integrating circuits.
  456. Bin Yu, Process for forming gate conductors.
  457. Chittipeddi Sailesh, Process for forming gate oxides possessing different thicknesses on a semiconductor substrate.
  458. Walsh Daniel P. (Peabody MA), Process for forming polyimide-metal laminates.
  459. Tsukune Atuhiro (Kawasaki JPX) Furumura Yuji (Kawasaki JPX) Masanobu Hatanaka (Kawasaki JPX), Process for forming silicon oxide film.
  460. Ishihara Yoshio,JPX ; Toda Masayuki,JPX ; Ohmi Tadahiro,JPX, Process for laser detection of gas and contaminants in a wafer transport gas tunnel.
  461. Kobayashi Takayuki (Yokohama JPX) Tamamura Ryo (Yokohama JPX), Process for preparing nickel layer.
  462. Homma Tetsuya (Tokyo JPX), Process for producing semiconductor devices.
  463. Hayashi, Hiroyuki; Oshima, Takayuki; Aoki, Hideo, Process for producing semiconductor integrated circuit device.
  464. Satou Mamoru (Kawanishi JPX) Yamaguchi Kohichi (Kagoshima JPX), Process for production of high-hardness boron nitride film.
  465. Berenguer Marc,FRX ; Pons Michel,FRX, Process for treating a semiconductor substrate comprising a surface-treatment step.
  466. Ishikawa Tetsuya ; Krishnaraj Padmanabhan ; Niazi Kaveh ; Hanawa Hiroji, Process kit.
  467. Shieh Benjamin P. ; Nag Somnath S. ; List Richard S., Process scheme to form controlled airgaps between interconnect lines to reduce capacitance.
  468. Davis Cecil J. (Greenville TX) Matthews Robert T. (Plano TX) Loewenstein Lee M. (Plano TX) Jucha Rhett B. (Celeste TX) Hildenbrand Randall C. (Richardson TX) Jones John I. (Plano TX), Processing apparatus and method.
  469. Hamelin, Thomas; Wallace, Jay; Laflamme, Jr., Arthur, Processing system and method for chemically treating a substrate.
  470. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  471. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  472. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  473. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  474. Paunovic Milan ; Jahnes Christopher, Production of electroless Co(P) with designed coercivity.
  475. Schacham-Diamand Yosef ; Dubin Valery M. ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K. ; Desilva Melvin, Protected encapsulation of catalytic layer for electroless copper interconnect.
  476. Johnson,Wayne L.; Strang,Eric J., Pulsed plasma processing method and apparatus.
  477. Gurtej S. Sandhu ; Sujit Sharan ; Anand Srinivasan, Quasi-remote plasma processing method and apparatus.
  478. Sorensen, Carl A.; Kudela, Jozef; Tiner, Robin L.; Anwar, Suhail; White, John M., RF bus and RF return bus for plasma chamber electrode.
  479. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  480. Panagopoulos, Theo, Rapid and uniform gas switching for a plasma etch process.
  481. Mahawili Imad, Rapid thermal processing heater technology and method of use.
  482. Williams Kurt E. ; Druz Boris L. ; Hines Danielle S. ; Londono Jhon F., Reactive ion beam etching method and a thin film head fabricated using the method.
  483. Mungekar, Hemant P.; Patel, Anjana M.; Vellaikal, Manoj; Wang, Anchuan; Kapoor, Bikram, Reactive ion etching for semiconductor device feature topography modification.
  484. Cohen Barney M. ; Su Jingang ; Ngan Kenny King-Tai, Reactive plasma etch cleaning of high aspect ratio openings.
  485. Iyer Ravi, Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines.
  486. Mahawili Imad, Reactor and method of processing a semiconductor substate.
  487. Imad Mahawili, Reactor and method of processing a semiconductor substrate.
  488. Law Kam S. (Union City CA) Leung Cissy (Fremont CA) Tang Ching C. (San Francisco CA) Collins Kenneth S. (San Jose CA) Chang Mei (Cupertino CA) Wong Jerry Y. K. (Union City CA) Wang David Nin-Kou (Cup, Reactor chamber self-cleaning process.
  489. Chao-Kun Hu ; Robert Rosenberg ; Judith Marie Rubino ; Carlos Juan Sambucetti ; Anthony Kendall Stamper, Reduced electromigration and stressed induced migration of Cu wires by surface coating.
  490. Liang, Jingmei; Ji, Lili; Ingle, Nitin K., Remote plasma burn-in.
  491. Markunas Robert J. (Chapel Hill NC) Hendry Robert (Hillsborough NC) Rudder Ronald A. (Cary NC), Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer.
  492. Loewenstein Lee M. (Plano TX) Davis Cecil J. (Greenville TX), Remote plasma generation process using a two-stage showerhead.
  493. Fairbairn Kevin ; Ponnekanti Hari K. ; Cheung David ; Tanaka Tsutomu,JPX ; Kelka Malcal, Remote plasma source.
  494. Park, Soonam; Jeon, Soo; Tran, Toan Q.; Yang, Jang-Gyoo; Liang, Qiwei; Lubomirsky, Dmitry, Remote plasma source seasoning.
  495. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  496. Bencher, Christopher Dennis, Removable amorphous carbon CMP stop.
  497. Aruga Michio (Tomisato JPX) Ohkura Atsunobu (Yachimata JPX) Saito Akihiko (Chiba JPX) Suzuki Kenji (Narita JPX) Taguchi Kenichi (Narita JPX) DuBois Dale Robert (Los Gatos CA) Morrison Alan Ferris (Cu, Resistance heated stem mounted aluminum susceptor assembly.
  498. Vo, Be Van; Umotoy, Salvador P.; Trinh, Son N.; Lei, Lawrence Chung-Lai; Edelstein, Sergio; Tepman, Avi; Kao, Chien-Teh; Tsai, Kenneth, Resonant chamber applicator for remote plasma source.
  499. deBoer Wiebe B. (Eersel NLX) Ozias Albert E. (Aumsville OR), Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment.
  500. Zhao Bin (Austin TX) Vasudev Prahalad K. (Austin TX) Dubin Valery M. (Cupertino CA) Shacham-Diamand Yosef (Ithaca NY) Ting Chiu H. (Saratoga CA), Selective electroless copper deposited interconnect plugs for ULSI applications.
  501. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  502. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  503. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  504. Calabrese Gary S. (North Andover MA) Calvert Jeffrey M. (Burke VA) Chen Mu-San (Ellicott MD) Dressick Walter J. (Fort Washington MD) Dulcey Charles S. (Washington DC) Georger ; Jr. Jacque H. (Holden , Selective metallization process.
  505. Brooks Cynthia B. ; Merry Walter ; Joshi Ajey M. ; Quinones Gladys D. ; Trevor Jitske, Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and.
  506. Forget Lawrence E. (Poughkeepsie NY) Gdula Robert A. (Pleasant Valley NY) Hollis Joseph C. (Poughquag NY), Selective reactive ion etching of polysilicon against SiO2 utilizing SF6-Cl2-inert gas.
  507. Rotondaro Antonio L. P., Selective removal of TixNy.
  508. Blaugher Richard D. (Pittsburgh PA) Buttyan Joseph (Wilkins Township ; Alleghney County PA) Przybysz John X. (Penn Hills PA), Selective silicon dioxide etchant for superconductor integrated circuits.
  509. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  510. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  511. Dunfield John S. (San Jose CA) Taylor Bradley J. (Saratoga CA), Selective thin film etch process.
  512. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  513. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  514. Selyutin Leonid ; Zhao Jun, Self aligning lift mechanism.
  515. Wu, Cheng-Hsien; Ko, Chih-Hsin; Wann, Clement Hsingjen, Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces.
  516. Matsuura Masazumi (Hyogo JPX), Semiconductor device having an interlayer insulating film of high crack resistance.
  517. Kushibiki, Masato; Nishimura, Eiichi, Semiconductor device manufacturing method and plasma etching apparatus.
  518. Towle, Steven N., Semiconductor device with boron containing carbon doped silicon oxide layer.
  519. Lopatin Sergey D. ; Pramanick Shekhar ; Brown Dirk, Semiconductor metalization barrier.
  520. Yang, Jang-Gyoo; Miller, Matthew L.; Chen, Xinglong; Chuc, Kien N.; Liang, Qiwei; Venkataraman, Shankar; Lubomirsky, Dmitry, Semiconductor processing system and methods using capacitively coupled plasma.
  521. Su, Shu-Hui; Huang, Cheng-Lin; Yang, Jiing-Feng; Wu, Zhen-Cheng; Wu, Ren-Guei; Chen, Dian-Hau; Mii, Yuh-Jier, Semiconductor structure having an air-gap region and a method of manufacturing the same.
  522. Orczyk Maciek ; Murugesh Laxman ; Narwankar Pravin, Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing.
  523. Orczyk Maciek ; Murugesh Laxman ; Narwankar Pravin, Sequencing of the recipe steps for the optimal low-k HDP-CVD processing.
  524. Tarafdar,Raihan M.; Papasouliotis,George D.; Rulkens,Ron; Hausmann,Dennis M.; Tobin,Jeff; Tipton,Adrianne K.; Nie,Bunsen, Sequential deposition/anneal film densification method.
  525. Hallin,Christer; Lendenmann,Heinz; Sumakeris,Joseph John, Sequential lithographic methods to reduce stacking fault nucleation sites.
  526. Ling-Sung Wang TW, Shallow trench isolation (STI) module to improve contact etch process window.
  527. Jang Syun-Ming,TWX ; Fu Chu-Yun,TWX ; Yu Chen-Hua Douglas,TWX, Shallow trench isolation filled by high density plasma chemical vapor deposition.
  528. Moon Peter K. ; Landau Berni W. ; Krick David T., Shallow trench isolation technique.
  529. Rothschild, Michael; Misinai, Tsvi, Sharing live data with a non cooperative DBMS.
  530. de la Llera, Anthony; Mankidy, Pratik; Kellogg, Michael C.; Dhindsa, Rajinder, Showerhead electrode.
  531. White, John M.; Sterling, William Norman, Showerhead mounting to accommodate thermal expansion.
  532. Kamineni, Vimal K.; Xie, Ruilong; Miller, Robert, Silicide protection during contact metallization and resulting semiconductor structures.
  533. Olmer Leonard J. (Orlando FL), Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced CVD.
  534. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  535. Panda,Siddhartha; Wise,Richard; Murthy,Srikanteswara Dakshina; Subramanian,Kamatchi, Silicon nitride etching methods.
  536. Sapre, Kedar; Tang, Jing; Wang, Linlin; Mallick, Abhijit Basu; Ingle, Nitin, Silicon-selective dry etch for carbon-containing films.
  537. Chew Peter,SGX, Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrat.
  538. Eric Anton Nering, Single-wafer process chamber thermal convection processes.
  539. Tang, Jing; Ingle, Nitin; Yang, Dongqing, Smooth SiConi etch for silicon-containing films.
  540. Hayashi, Kenichi, Stacked film patterning method and gate electrode forming method.
  541. Ishikawa Kenji (Sagamihara JPX) Komino Mitsuaki (Tokyo JPX) Mitui Tadashi (Yamanashi JPX) Iwata Teruo (Nirasaki JPX) Arai Izumi (Yokohama JPX) Tahara Yoshifumi (Tokyo JPX), Stage having electrostatic chuck and plasma processing apparatus using same.
  542. Tepman Avi (Cupertino CA) Grunes Howard (Santa Cruz CA) Somekh Sasson (Los Altos Hills CA) Maydan Dan (Los Altos Hills CA), Staged-vacuum wafer processing system and method.
  543. Tanaka Yoichiro, Step coverage and overhang improvement by pedestal bias voltage modulation.
  544. Dawson Robert ; Michael Mark W. ; Bandyopadhyay Basab ; Fulford ; Jr. H. Jim ; Hause Fred N. ; Brennan William S., Substantially planar semiconductor topography using dielectrics and chemical mechanical polish.
  545. Mahawili Imad, Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate.
  546. Suda Atsuhiko,JPX ; Okayama Satohiro,JPX, Substrate processing apparatus.
  547. Ikeda, Kazuhito; Nishitani, Eisuke; Sakuma, Harunobu; Nakagomi, Kazuhiro, Substrate processing apparatus and substrate processing method.
  548. Fong Gary ; Silvestre Irwin, Substrate processing apparatus with bottom-mounted remote plasma system.
  549. Tsai, Cheng-Hsiung; Tzou, Chien-Shiung, Substrate support with multilevel heat transfer mechanism.
  550. Ikeda, Taro; Tanaka, Sumi; Yamamoto, Kaoru, Substrate supporting structure for semiconductor processing, and plasma processing device.
  551. Sugaya, Masakazu; Murai, Fumio; Kaneko, Yutaka; Kanetomo, Masafumi; Hirasawa, Shigeki; Watanabe, Tomoji; Yamamoto, Tatuharu; Kuroda, Katsuhiro, Substrate temperature control system and method for controlling temperature of substrate.
  552. Vaartstra Brian A., Supercritical etching compositions and method of using same.
  553. Gerhard M. Schneider ; Hamid Noorbakhsh ; Bryan Pu ; Kaushik Vaidya ; Brad Leroy Mays ; Hung Dao ; Evans Lee ; Hongging Shan, Support assembly with thermal expansion compensation.
  554. Keller, Ernst; Shang, Quanyuan, Suspended gas distribution manifold for plasma chamber.
  555. Keller,Ernst; Shang,Quanyuan, Suspended gas distribution manifold for plasma chamber.
  556. White,John M.; Keller,Ernst; Blonigan,Wendell T., Suspended gas distribution plate.
  557. Redeker Fred C. ; Moghadam Farhad ; Hanawa Hiroji ; Ishikawa Tetsuya ; Maydan Dan ; Li Shijian ; Lue Brian ; Steger Robert J. ; Wong Manus ; Wong Yaxin ; Sinha Ashok K., Symmetric tunable inductively coupled HDP-CVD reactor.
  558. Martinez, Rodolfo A.; Alvarez, Marc A.; Silks, III, Louis A.; Unkefer, Clifford J., Synthesis of 2H- and 13C-substituted dithanes.
  559. Kellerman Peter L. ; Bernstein James D. ; Denholm A. Stuart, System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate.
  560. Ershov,Alexander I.; Marx,William F., Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source.
  561. Bakli, Mouloud; Ghanayem, Steve G.; Tran, Huyen T., Tantalum nitride CVD deposition by tantalum oxide densification.
  562. Bui-Le Giao Quynh ; Arima John, Techniques for etching an oxide layer.
  563. Dhindsa, Rajinder, Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses.
  564. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  565. Wang David Nin-Kou ; White John M. ; Law Kam S. ; Leung Cissy ; Umotoy Salvador P. ; Collins Kenneth S. ; Adamik John A. ; Perlov Ilya ; Maydan Dan, Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process.
  566. Moslehi Mehrdad M. (Palo Alto CA) Saraswat Krishna C. (Santa Clara County CA), Thermal/microwave remote plasma multiprocessing reactor and method of use.
  567. Zhao Jun ; Sinha Ashok ; Tepman Avi ; Chang Mei ; Luo Lee ; Schreiber Alex ; Sajoto Talex ; Wolff Stefan ; Dornfest Charles ; Danek Michal, Thermally floating pedestal collar in a chemical vapor deposition chamber.
  568. Walsh Daniel P. (Peabody MA) Knudsen Philip D. (South Berwick ME), Thermally stable dual metal coated laminate products made from textured polyimide film.
  569. Shimokohbe, Akira; Hata, Seiichi, Thin film-structure and a method for producing the same.
  570. Lane Barton G. (Belmont MA) Sawin Herbert H. (Lexington MA) Smatlak Donna L. (Arlington MA), Toroidal electron cyclotron resonance reactor.
  571. Smith Donald K. ; Chen Xing ; Holber William M. ; Georgelis Eric, Toroidal low-field reactive gas source.
  572. Michael S. Cox ; Canfeng Lai ; Robert B. Majewski ; David P. Wanamaker ; Christopher T. Lane ; Peter Loewenhardt ; Shamouil Shamouilian ; John P. Parks, Toroidal plasma source for plasma processing.
  573. Lee,Sangheon; Kang,Sean S.; Sadjadi,S M Reza; Deshmukh,Subhash; Kim,Ji Soo, Treatment for corrosion in substrate processing.
  574. Gerald Zheyao Yin ; Xue-Yu Qian ; Patrick L. Leahey ; Jonathan D. Mohn ; Waiching Chow ; Arthur Y. Chen ; Zhi-Wen Sun ; Brian K. Hatcher, Treatment of etching chambers using activated cleaning gas.
  575. Tylko Jozef K. (Stoneleigh GB2), Treatment of matter in low temperature plasmas.
  576. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  577. Wang, Xikun; Williams, Scott; Pan, Shaoher X., Two-stage self-cleaning silicon etch process.
  578. DuRose Arthur H. (Pinellas Park FL), Two-step preplate system for polymeric surfaces.
  579. Ohga Yuichi (Yokohama JPX) Ishikawa Shinji (Yokohama JPX) Saito Tatsuhiko (Yokohama JPX) Yokota Hiroshi (Yokohama JPX) Kyoto Michihisa (Yokohama JPX), UV light-permeable glass and article comprising the same.
  580. Maydan Dan ; Somekh Sasson ; Sinha Ashok ; Fairbairn Kevin ; Lane Christopher ; Colborne Kelly ; Ponnekanti Hari K. ; Taylor W. N.(Nick), Ultra high throughput wafer vacuum processing system.
  581. Yang, Dongqing; Tang, Jing; Ingle, Nitin, Uniform dry etch in two stages.
  582. Telford Susan G. (Cupertino CA) Tseng Meng C. (Saratoga CA) Aruga Michio (Chiba JPX), Uniform tungsten silicide films produced by chemical vapor deposition.
  583. Benjamin Neil ; Hylbert Jon ; Mangano Stefano, Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and.
  584. Ameen Michael S. ; Leusink Gert ; Hillman Joseph T., Use of TiCl.sub.4 etchback process during integrated CVD-Ti/TiN wafer processing.
  585. Dubin Valery M. (Cupertino CA) Schacham-Diamand Yosi (Ithaca NY) Zhao Bin (Irvine CA) Vasudev Prahalad K. (Austin TX) Ting Chiu H. (Saratoga CA), Use of cobalt tungsten phosphide as a barrier material for copper metallization.
  586. Oberle Robert R., Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication.
  587. Graff, Wesley P., Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process.
  588. Arami Junichi (Tokyo JPX) Ishikawa Kenji (Sagamihara JPX) Deguchi Youichi (Machida JPX) Yagi Hironori (Yokohama JPX) Kawada Nobuo (Annaka JPX) Yanagisawa Isao (Annaka JPX), Vacuum processing apparatus.
  589. Ukai Katsumi (Fuchu JPX) Tsukada Tsutomu (Fuchu JPX) Ikeda Kouji (Fuchu JPX) Adachi Toshio (Fuchu JPX), Vacuum processing apparatus.
  590. Turner, Norman L.; White, John M.; D'Entremont, Alan, Vacuum processing system having improved substrate heating and cooling.
  591. Guiver,Harold Chris, Vacuum thermal annealer.
  592. Patrick A. Van Cleemput ; George D. Papasouliotis ; Mark A. Logan ; Bart van Schravendijk ; William J. King, Very high aspect ratio gapfill using HDP.
  593. Soma Takao (Nishikamo JPX) Ushikoshi Ryusuke (Handa City JPX) Nobori Kazuhiro (Haguri JPX), Wafer heaters for use in semiconductor-producing apparatus and heating units using such wafer heaters.
  594. Arnold Kimberley J. ; Lewis John W. ; Pinto James N., Wafer lift assembly.
  595. Burkhart Vincent E. (San Jose CA) Sugarman Michael N. (San Francisco CA) Grunes Howard E. (Santa Cruz CA), Wafer spacing mask for a substrate support chuck and method of fabricating same.
  596. Halpin Michael W. ; Hawkins Mark R. ; Foster Derrick W. ; Vyne Robert M. ; Wengert John F. ; van der Jeugd Cornelius A. ; Jacobs Loren R., Wafer support system.
  597. Wang Chin-Kun (San-Chung TWX) Yu Chen-Hua Douglas (Keelung TWX) Lin Lu-Min (Hsin-Chu TWX), method of forming inter-metal-dielectric structure.

이 특허를 인용한 특허 (58)

  1. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  2. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  3. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  4. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  5. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  6. Lubomirsky, Dmitry, Chamber with flow-through source.
  7. Lubomirsky, Dmitry, Chamber with flow-through source.
  8. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  9. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  10. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  11. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  12. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  13. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  14. Huang, Jiayin; Xu, Lin; Chen, Zhijun; Wang, Anchuan, Flow distribution plate for surface fluorine reduction.
  15. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  16. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  17. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  18. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  19. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  20. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  21. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  22. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  23. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  24. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  25. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  26. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  27. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  28. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  29. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  30. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  31. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  32. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  33. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  34. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  35. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  36. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  37. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  38. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  39. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  40. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  41. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  42. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  43. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  44. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  45. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  46. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  47. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  48. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  49. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  50. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  51. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  52. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  53. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  54. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  55. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  56. Park, Soonam; Zhu, Yufei; Suarez, Edwin C.; Ingle, Nitin K.; Lubomirsky, Dmitry; Huang, Jiayin, Systems and methods for internal surface conditioning assessment in plasma processing equipment.
  57. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  58. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트