$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/31
  • H01L-021/3105
  • H01L-021/316
  • H01L-021/02
  • H01L-021/027
  • H01L-021/308
  • C23C-016/40
  • C23C-016/56
출원번호 US-0280049 (2016-09-29)
등록번호 US-9847221 (2017-12-19)
발명자 / 주소
  • McLaughlin, Kevin M.
  • Pharkya, Amit
  • Reddy, Kapu Sirish
출원인 / 주소
  • Lam Research Corporation
대리인 / 주소
    Weaver Austin Villeneuve & Sampson LLP
인용정보 피인용 횟수 : 0  인용 특허 : 206

초록

Silicon oxide layer is deposited on a semiconductor substrate by PECVD at a temperature of less than about 200° C. and is treated with helium plasma to reduce stress of the deposited layer to an absolute value of less than about 80 MPa. Plasma treatment reduces hydrogen content in the silicon oxide

대표청구항

1. A method for processing a semiconductor substrate, the method comprising: (a) providing a semiconductor substrate to a PECVD process chamber;(b) depositing a layer of silicon oxide on the semiconductor substrate by PECVD at a temperature of less than about 200° C., wherein the depositing comprise

이 특허에 인용된 특허 (206)

  1. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  2. Wheatly John A. (Midland MI) Motter Gregg A. (Midland MI), All-polymeric cold mirror.
  3. Lee Yong-Kwan,KRX ; Yoon Nam-Sik,KRX ; Kim Sung-Sik,KRX ; Lee Pyung-Woo,KRX ; Chang Hong-Young,KRX, Antenna device for generating inductively coupled plasma.
  4. Shrinivasan, Krishnan; Banerjee, Souvik; Juarez, Francisco; Reinhardt, Karen A.; Gopinath, Sanjay, Apparatus and methods for processing semiconductor substrates using supercritical fluids.
  5. Iwasaki Masanobu (Hyogo JPX) Itoh Hiromi (Hyogo JPX) Tokui Akira (Hyogo JPX) Mitsui Katsuyoshi (Hyogo JPX) Tsukamoto Katsuhiro (Hyogo JPX), Apparatus for forming a thin film on surface of semiconductor substrate.
  6. Maeda Kazuo (Tokyo JPX) Tokumasu Noboru (Tokyo JPX) Nishimoto Yuhko (Tokyo JPX), Apparatus for manufacturing semiconductor device and method for manufacturing semiconductor device.
  7. Yagi Takayuki (Machida JPX) Komatsu Toshiyuki (Hiratsuka JPX) Sato Yasue (Kawasaki JPX) Kawate Shinichi (Machida JPX), Apparatus for preparing a semiconductor device, photo treatment apparatus, pattern forming apparatus and fabrication app.
  8. Yamazaki,Shunpei; Takenouchi,Akira; Takemura,Yasuhiko, Apparatus for processing a semiconductor.
  9. Butterbaugh,Jeffery W.; Gray,David C.; Fayfield,Robert T.; Siefering,Kevin; Heitzinger,John; Hiatt,Fred C., Apparatus for surface conditioning.
  10. Keane Anthony R. A. (Webster NY) Hauer Steven E. (Berlin NJ), Automatic impedance matching apparatus and method.
  11. Janakiraman, Karthik; Suarez, Edwin C., Blocker plate by-pass for remote plasma clean.
  12. Zhao Jun (Milpitas CA) Cho Tom (San Francisco CA) Dornfest Charles (Fremont CA) Wolff Stefan (Sunnyvale CA) Fairbairn Kevin (Saratoga CA) Guo Xin S (Mountain View CA) Schreiber Alex (Santa Clara CA) , CVD Processing chamber.
  13. Weidman, Timothy; Nault, Michael P; Chang, Josephine J, Capping layer for extreme low dielectric constant films.
  14. Varadarajan, Bhadri N.; McLaughlin, Kevin M.; van Schravendijk, Bart, Carbon containing low-k dielectric constant recovery using UV treatment.
  15. Andideh, Ebrahim; Peterson, Kevin L.; Bielefeld, Jeffery D., Carbon doped oxide deposition.
  16. Conti, Richard A.; Dev, Prakash Chimanlal; Dobuzinsky, David M.; Edelstein, Daniel C.; Lee, Gill Y.; Low, Kia-Seng; Shafer, Padraic C.; Simpson, Alexander; Wrschka, Peter, Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates.
  17. Varadarajan, Bhadri; Jiang, Gengwei; Reddy, Sirish K.; Sims, James S., Cascaded cure approach to fabricate highly tensile silicon nitride films.
  18. Varadarajan, Bhadri; Jiang, Gengwei; Reddy, Sirish K.; Sims, James S., Cascaded cure approach to fabricate highly tensile silicon nitride films.
  19. Shrinivasan,Krishnan; Gentile,Stephen; Woytowitz,Peter; Roham,Sassan; Kamian,George, Cast pedestal with heating element and coaxial heat exchanger.
  20. McDaniel Max P. (Bartlesville OK), Chemical dehydroxylation of silica.
  21. Ting Chiu H. (Saratoga CA) Rucker Thomas G. (Palo Alto CA) Sobczak Zbigniew P. (Andover NJ), Chemical modification of spin-on glass for improved performance in IC fabrication.
  22. Russell Kathleen (Santa Clara CA) Robles Stuardo (Sunnyvale CA) Nguyen Bang C. (Fremont CA) Sivaramakrishnan Visweswaren (Cupertino CA), Chemical vapor deposition reactor system and integrated circuit.
  23. Naritoshi Ozawa JP; Xiaoming Qiu JP; Satoshi Ohkawara JP, Cleaning apparatus.
  24. Lee, Chung J.; Kumar, Atul, Composite polymer dielectric film.
  25. Chyi Chern ; Michal Danek ; Marvin Liao SG; Roderick C. Mosely ; Karl Littau ; Ivo Raaijmakers ; David C. Smith, Construction of a film on a semiconductor wafer.
  26. Draeger, Nerissa S.; Ray, Gary William, Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles.
  27. Draeger,Nerissa S.; Gray,Gary William, Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles.
  28. James H. Glownia ; Robert J. von Gutfeld, Curing of sealants using multiple frequencies of radiation.
  29. Zheng Bo ; Chen Ling ; Mak Alfred ; Chang Mei, Deposition of copper with increased adhesion.
  30. Stern,Lewis; Albright,John, Deposition of tensile and compressive stressed materials.
  31. Gaynor Justin F., Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits.
  32. Kloster, Grant M.; Staines, David W.; Leu, Jihperng, Dielectric material treatment.
  33. Retschke, Wolfgang; Senf, Wolfgang; Katzir, Yigal, Direct pattern writer.
  34. Pu, Bryan Y.; Shan, Hongching; Bjorkman, Claes; Doan, Kenny; Welch, Mike; Mett, Richard Raymond, Distributed inductively-coupled plasma source and circuit for coupling induction coils to RF power supply.
  35. Buazza Omar M., El apparatus for eyeglass lens curing using ultraviolet light.
  36. Gallagher, Michael K.; You, Yujian, Electronic device manufacture.
  37. Patrick Roger (Santa Clara CA) Bose Frank (Wettingen CHX), End-point detection in plasma etching by monitoring radio frequency matching network.
  38. Yoshimi Shioya JP; Kouichi Ohira JP; Kazuo Maeda JP; Tomomi Suzuki JP; Hiroshi Ikakura JP; Youichi Yamamoto JP; Yuichiro Kotake JP; Shoji Ohgawara JP; Makoto Kurotobi JP, Fabrication of a semiconductor device with an interlayer insulating film formed from a plasma devoid of an oxidizing agent.
  39. Konishi, Nobuo; Iwashita, Mitsuaki; Ohno, Hiroki; Kawamura, Shigeru; Sugiura, Masahito, Film forming method by radiating a plasma on a surface of a low dielectric constant film.
  40. Gupta Anand ; Bhan Mohan ; Subrahmanyam Sudhakar, Film to tie up loose fluorine in the chamber after a clean process.
  41. Ohmi, Tadahiro; Hirayama, Masaki, Fluorine-containing carbon film forming method.
  42. Bayman, Atiye; Rahman, Md Sazzadur; Zhang, Weijie; van Schravendijk, Bart; Gauri, Vishal; Papasoulitotis, George D.; Singh, Vikram, Gap fill for high aspect ratio structures.
  43. Rangarajan, Vishwanathan; Antonelli, George Andrew; Banerji, Ananda; Van Schravendijk, Bart, Hardmask materials.
  44. Rangarajan, Vishwanathan; Antonelli, George Andrew; Banerji, Ananda; van Schravendijk, Bart, Hardmask materials.
  45. Rangarajan, Vishwanathan; Antonelli, George Andrew; van Schravendijk, Bart, Hardmask materials.
  46. Bornhorst James M. (DeSoto TX) Stacy Timothy D. (Rowlett TX) Hutton Richard W. (Irving TX), High intensity lighting projectors.
  47. Berry, III, Ivan Louis; Chung, Kyuha; Han, Qingyuan; Liu, Youfan; Moyer, Eric Scott; Spaulding, Michael John, High modulus, low dielectric constant coatings.
  48. Kotake, Ryo; Oikawa, Yuji, Imaging apparatus having a movable barrier and a movable barrier opening/closing member.
  49. Parke Vijay, In situ cleaning of the surface inside a vacuum processing chamber.
  50. Hsia Shaw-Tzeng,TWX ; Lee Ching-Ying,TWX ; Liao Chih-Cheng,TWX, In-situ SOG etchback and deposition for IMD process.
  51. Xia,Li Qun; Xu,Huiwen; Witty,Derek R.; M'Saad,Hichem, In-situ oxide capping after CVD low k deposition.
  52. Sakai Naomichi (Ayase JPX) Kikusawa Masanaga (Ichikawa JPX) Kubota Yoshitaka (Sagamihara JPX) Yamamura Hiroshi (Yokohama JPX) Nagata Hiroya (Yokohama JPX), Laminated silicon oxide film capacitors and method for their production.
  53. Demos, Alexandros T.; Ponnekanti, Hari K.; Zhao, Jun; Armer, Helen R.; Livesay, William R.; Woods, Scott C., Large area source for uniform electron beam generation.
  54. Shyama Mukherjee ; Roger Leung ; Kreisler Lau, Low dielectric materials and methods of producing same.
  55. Bourassa Roger J. (Kent WA), Low temperature plasma generator with minimal RF emissions.
  56. Fonash, Stephen J.; Lin, Xin; Reber, Douglas M., Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications.
  57. Lee Gil S. (Baton Rouge LA) Song Joho (Baton Rouge LA), Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films usi.
  58. Asai, Masayuki; Kitayama, Kanako, Manufacturing method of semiconductor device and substrate processing apparatus.
  59. Shrinivasan, Krishnan; Shimanovich, Arkadiy; Gadgil, Prasad N., Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry.
  60. Bohr Mark T. ; Greason Jeffrey K., Memory cell design with vertically stacked crossovers.
  61. James Edward MacDougall ; Kevin Ray Heier ; Scott Jeffrey Weigel, Mesoporous films having reduced dielectric constants.
  62. Liu Jun ; Domansky Karel ; Li Xiaohong ; Fryxell Glen E. ; Baskaran Suresh ; Kohler Nathan J. ; Thevuthasan Suntharampillai ; Coyle Christopher A. ; Birnbaum Jerome C., Mesoporous silica film from a solution containing a surfactant and methods of making same.
  63. Schaeffer William R. (Mt. Airy MD), Method and apparatus for UV curing thick pigmented coatings.
  64. Cho,Seon Mee; Srinivasan,Easwar; Lu,Brian G.; Mordo,David, Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties.
  65. Troue Harden Henry (Plainfield IN), Method and apparatus for operating a mercury vapor lamp.
  66. Shepherd, Jr.,Robert A.; Caughran,James, Method and apparatus for plasma optimization in water processing.
  67. Hardikar,Vishwas V.; Schlueter,James A.; Chen,Guangshun, Method and apparatus for post-CMP cleaning of a semiconductor work piece.
  68. Matthews John C. (Columbia MD) Couch Robert W. (Frederick MD), Method and apparatus for providing low gloss and gloss controlled radiation-cured coatings.
  69. Starov Vladimir ; Basha Syed S. ; Shrinivasan Krishnan ; Reinhardt Karen A. ; Kabansky Aleksandr, Method and apparatus for removing post-etch residues and other adherent matrices.
  70. Starov Vladimir ; Erez Shmuel ; Basha Syed S. ; Shimanovich Arkadiy I. ; Vellanki Ravi ; Shrinivasan Krishnan ; Reinhardt Karen A. ; Kabansky Aleksandr, Method and apparatus for removing post-etch residues and other adherent matrices.
  71. Butterbaugh Jeffery W. ; Gray David C. ; Fayfield Robert T. ; Siefering Kevin ; Heitzinger John ; Hiatt Fred C., Method and apparatus for surface conditioning.
  72. Swanson Paul A. (3585 Millet Ct. San Jose CA 95127) Gibson John A. (655 Oneida Dr. Sunnyvale CA 94087) Knirck Jeffrey G. (868 Jasmine Dr. Sunnyvale CA 94086), Method and apparatus for transfer of a reticle pattern onto a substrate by scanning.
  73. Wada, Kenya; Kinoshita, Kazuto; Gommori, Kazuhiko, Method and apparatus for treating surface of substrate plate.
  74. Humayun, Raashina; Joyce, Patrick Christopher, Method and apparatus to remove additives and contaminants from a supercritical processing solution.
  75. Saenger, Annette; Sell, Bernhard; Seidl, Harald; Hecht, Thomas; Gutsche, Martin, Method and device for depositing thin layers via ALD/CVD processes in combination with rapid thermal processes.
  76. Liu, Junjun; Toma, Dorel I.; Lee, Eric M., Method for curing a porous low dielectric constant dielectric film.
  77. Livesay William R. ; Ross Matthew F. ; Rubiales Anthony L., Method for curing spin-on-glass film utilizing electron beam radiation.
  78. Harvey, Keith R.; Lim, Tian-Hoe; Xia, Li-Qun, Method for densification of CVD carbon-doped silicon oxide films through UV irradiation.
  79. Mak,Cecilia Y.; Law,Kam S., Method for depositing porous films.
  80. Furukawa, Toshiharu; Hakey, Mark C.; Holmes, Steven J.; Horak, David V.; Ma, William H-L., Method for etching a semiconductor substrate using germanium hard mask.
  81. Kim, Kyong-Min; Song, Han-Sang, Method for forming Ta2O5 dielectric layer by using in situ N2O plasma treatment.
  82. Bao, Tien-I; Ko, Chung-Chi; Li, Lih-Ping; Jang, Syun-Ming, Method for forming a carbon doped oxide low-k insulating layer.
  83. Lu, Hsin-Hsien; Song, Aaron; Bao, Tien-I; Jang, Syun-Ming, Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion.
  84. Pheng, Soo Choi; Chan, Lap; Yang, Wang Cui; Kong, Siew Yong; See, Alex, Method for forming a region of low dielectric constant nanoporous material using a microemulsion technique.
  85. Ohno, Keiichi, Method for forming a semiconductor device having a DRAM region and a logic region on the substrate.
  86. Won,Seok jun; Park,Young wook; Hyung,Yong woo, Method for forming a thin film.
  87. Tsukamoto Katsuhiro (Hyogo JPX) Tokui Akira (Hyogo JPX), Method for forming a thin layer on a semiconductor substrate.
  88. Tsukamoto Katsuhiro (Hyogo JPX) Tokui Akira (Hyogo JPX), Method for forming a thin layer on a semiconductor substrate and apparatus therefor.
  89. Tien-I Bao TW; Syun-Ming Jang TW, Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer.
  90. Liu Chih-Chien,TWX ; Wu Juan-Yuan,TWX ; Lur Water,TWX, Method for forming dielectric layers.
  91. Fox, Keith; Srinivasan, Easwar; Mordo, David; Wu, Qingguo, Method for improving mechanical properties of low dielectric constant materials.
  92. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage.
  93. C. Jeffrey Brinker ; Yunfeng Lu ; Hongyou Fan, Method for making surfactant-templated thin films.
  94. Brinker C. Jeffrey ; Lu Yunfeng ; Fan Hongyou, Method for making surfactant-templated, high-porosity thin films.
  95. Chiang, Kang-Lie; Dahimene, Mahmoud; Zhao, Xiaoye; Ye, Yan; Delgadino, Gerardo A.; Hung, Hoiman; Xia, Li-Qun; Conti, Giuseppina R., Method for modifying dielectric characteristics of dielectric layers.
  96. Yamazaki Shunpei,JPX ; Sakama Mitsunori,JPX ; Takemura Yasuhiko,JPX, Method for producing semiconductor device and apparatus for treating semiconductor device.
  97. Nguyen,Son Van; Shaw,Thomas M., Method for reducing film stress for SiCOH low-k dielectric materials.
  98. Kelman, Maxim; Shrinivasan, Krishnan; Wang, Feng; Lu, Victor; Chang, Sean; Lu, Guangquan, Method for reducing stress in porous dielectric films.
  99. Watkins James J. ; McCarthy Thomas J., Method of chemically depositing material onto a substrate.
  100. San, Nelson Loke Chou; Kagami, Kenichi; Satoh, Kiyoshi, Method of cleaning a CVD reaction chamber using an active oxygen species.
  101. Hutter ; III Charles G. (4110 County Line Carson City NV 89701), Method of curing adhesive.
  102. Karel Domansky ; Glen E. Fryxell ; Jun Liu ; Nathan J. Kohler ; Suresh Baskaran, Method of dehydroxylating a hydroxylated material and method of making a mesoporous film.
  103. Lee, Ju-Hyung; Xu, Ping; Venkataraman, Shankar; Xia, Li-Qun; Han, Fei; Yieh, Ellie; Nemani, Srinivas D.; Yim, Kangsub; Moghadam, Farhad K.; Sinha, Ashok K.; Zheng, Yi, Method of depositing dielectric materials in damascene applications.
  104. Tamura Muneo,JPX ; Yamauchi Takeshi,JPX ; Niwa Katuhide,JPX ; Fukazawa Takeshi,JPX ; Kuroyanagi Akira,JPX, Method of fabricating a semiconductor device having a silicon nitride film made of silane, ammonia and nitrogen.
  105. Possin George E. (Schenectady NY) Kwasnick Robert F. (Schenectady NY) Giambattista Brian W. (Scotia NY), Method of fabricating a thin film transistor using hydrogen plasma treatment of the intrinsic silicon/doped layer interf.
  106. Gonsiorawski Ronald C. (Danvers MA) Czernienko George (Lowell MA), Method of fabricating solar cells with silicon nitride coating.
  107. Vassiliev Vladislav Y.,SGX, Method of fluorinated silicon oxide film deposition.
  108. Dougan, James N.; Smith, Lesley A., Method of forming a low K dielectric in a semiconductor manufacturing process.
  109. Kloster,Grant M.; O'brien,Kevin P.; Goodner,Michael D.; Leu,Jihperng; Gracias,David H.; Rockford,Lee D.; Moon,Peter K.; Barns,Chris E., Method of forming a selectively converted inter-layer dielectric using a porogen material.
  110. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Method of forming graded thin films using alternating pulses of vapor phase reactants.
  111. Hendriks, Menso; Tsuji, Naoto; Takahashi, Satoshi, Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power.
  112. Pravin K. Narwankar ; Annabel Nickles ; Xiaoliang Jin ; Deepak Upadhyaya ; Yaxin Wang, Method of forming metal electrodes.
  113. Klaus Jason ; Sneh Ofer ; George Steven M., Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry.
  114. Sanganeria, Mahesh; van Schravendijk, Bart, Method of improving adhesion between two dielectric films.
  115. Holler Mark A. (Palo Alto CA) Tam Simon M. (Redwood City CA), Method of increasing the accuracy of an analog circuit employing floating gate memory devices.
  116. Andideh, Ebrahim; Peterson, Kevin L., Method of making a semiconductor device by converting a hydrophobic surface of a dielectric layer to a hydrophilic surface.
  117. Lebens, John A., Method of manufacturing a thermally actuated liquid control device.
  118. Takaaki Ioka JP; Tsuneaki Tanabe JP; Ichiro Doi JP, Method of manufacturing interconnection structural body.
  119. Mochizuki Chiori (Zama JPX) Mizutani Hidemasa (Sagamihara JPX) Shoji Tatsumi (Hiratsuka JPX) Tashiro Kazuaki (Hadano JPX), Method of manufacturing non-single crystal film and non-single crystal semiconductor device.
  120. Paton, Eric; Besser, Paul Raymond; Chan, Simon S.; Hause, Fred, Method of manufacturing semiconductor device having nickel silicide with reduced interface roughness.
  121. Goundar,Kamal Kishore; Satoh,Kiyoshi, Method of manufacturing silicon carbide film.
  122. Tipton,Adrianne K.; Lu,Brian G.; Van Cleemput,Patrick A.; Schulberg,Michelle T.; Wu,Qingguo; Fu,Haiying; Wang,Feng, Method of porogen removal from porous low-k films using UV radiation.
  123. Asano Akihiko (Kanagawa JPX), Method of producing carbon-doped amorphous silicon thin film.
  124. Patrick A. Van Cleemput ; Ravi Kumar Laxman ; Jen Shu ; Michelle T. Schulberg ; Bunsen Nie, Method to deposit SiOCH films with dielectric constant below 3.0.
  125. Subhash Gupta SG; Yi Xu CA; Simon Chooi SG; Mei Sheng Zhou SG, Method to improve adhesion of organic dielectrics in dual damascene interconnects.
  126. Bandyopadhyay, Ananda K.; Cho, Seon Mee; Fu, Haiying; Srinivasan, Easwar; Mordo, David, Method to improve mechanical strength of low-K dielectric film using modulated UV exposure.
  127. Bandyopadhyay, Ananda K.; Cho, Seon-Mee; Fu, Haiying; Srinivasan, Easwar; Mordo, David, Method to improve mechanical strength of low-K dielectric film using modulated UV exposure.
  128. Bandyopadhyay, Ananda K.; Cho, Seon-Mee; Fu, Haiying; Srinivasan, Easwar; Mordo, David, Method to improve mechanical strength of low-K dielectric film using modulated UV exposure.
  129. Bandyopadhyay,Ananda K.; Cho,Seon Mee; Fu,Haiying; Srinivasan,Easwar; Mordo,David, Method to improve mechanical strength of low-k dielectric film using modulated UV exposure.
  130. Chun-Ching Tsan TW; Ying-Lang Wang TW; Hui-Ling Wang TW; Chin Kun Lan TW, Method to solve the delamination of a silicon nitride layer from an underlying spin on glass layer.
  131. Fong Gary ; Xia Li-Qun ; Nemani Srinivas ; Yieh Ellie, Methods and apparatus for cleaning surfaces in a substrate processing system.
  132. Xia, Li-Qun; Gaillard, Frederic; Yieh, Ellie; Lim, Tian H., Methods and apparatus to enhance properties of Si-O-C low K films.
  133. LaVoie, Adrien; Varadarajan, Bhadri; Henri, Jon; Hausmann, Dennis, Methods for UV-assisted conformal film deposition.
  134. Wu,Qingguo; Niu,Dong; Wang,Honghong; Fu,Haiying, Methods for improving integration performance of low stress CDO films.
  135. Niu,Dong; Fu,Haiying; Lu,Brian; Wang,Feng, Methods for improving the cracking resistance of low-k dielectric materials.
  136. Niu,Dong; Fu,Haiying; Lu,Brian; Wang,Feng, Methods for improving the cracking resistance of low-k dielectric materials.
  137. Wu,Qingguo; Fu,Haiying; Smith,David C.; Mordo,David, Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups.
  138. Wu,Qingguo; Fu,Haiying; Tang,Xingyuan, Methods for producing low-k CDO films.
  139. Wu,Qingguo; Niu,Dong; Fu,Haiying, Methods for producing low-k CDO films with low residual stress.
  140. Lin,Shun Li, Methods of code programming a mask ROM device.
  141. Sandhu,Gurtej S., Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry.
  142. Lai Jane-Bai,TWX ; Liu Chung-Shi,TWX ; Bao Tien-I,TWX ; Jang Syun-Ming,TWX ; Chang Chung-Long,TWX ; Wang Hui-Ling,TWX ; Wu Szu-An,TWX ; Cheng Wen-Kung,TWX ; Tsan Chun-Ching,TWX ; Wang Ying-Lang,TWX, Methods to improve copper-fluorinated silica glass interconnects.
  143. Fauver, Mark E.; Seibel, Eric J.; Brown, Chris M.; Reinhall, Per G.; Smithwick, Quinn Y. J., Micro-fabricated optical waveguide for use in scanning fiber displays and scanned fiber image acquisition.
  144. Haverkamp, Jason; Hausmann, Dennis; McLaughlin, Kevin; Shrinivasan, Krishnan; Rivkin, Michael; Smargiassi, Eugene; Sabri, Mohamed, Multi-station sequential curing of dielectric films.
  145. Shrinivasan, Krishnan; Rivkin, Michael; Smargiassi, Eugene; Sabri, Mohamed, Multi-station sequential curing of dielectric films.
  146. Shrinivasan, Krishnan; Rivkin, Michael; Smargiassi, Eugene; Sabri, Mohamed, Multi-station sequential curing of dielectric films.
  147. Fujiwara Shigetoshi (Hachioji JPX) Kishima Yoshio (Kasukabe JPX) Inoue Tadasu (Matsudo JPX), Optical filter structure.
  148. Antonelli, George Andrew; Hollister, Alice; Reddy, Sirish, Oxygen-containing ceramic hard masks and associated wet-cleans.
  149. Wu, Qingguo; Srinivasan, Easwar; Vitkavage, Dan, PECVD methods for producing ultra low-k dielectric films using UV treatment.
  150. Ramaswamy Chandrashekar, Parasitic helminth DiAg2 proteins and uses thereof.
  151. Rhieu Ji H. (Mesa AZ), Photo-assisted chemical vapor deposition method.
  152. Yamazaki Shunpei (Tokyo JPX) Imatoh Shinji (Kanagawa JPX) Hayashi Shigenori (Kanagawa JPX), Photochemical vapor phase reaction apparatus and method of causing a photochemical vapor phase reaction.
  153. Lai,Chien Hsin; Lin,San An; Yen,Kuo En; Huang,Kuo Uei, Plasma apparatus and method capable of adaptive impedance matching.
  154. Han, Qingyuan; Waldfried, Carlo; Escorcia, Orlando; Albano, Ralph; Berry, III, Ivan L.; Jang, Jeff; Ball, Ian, Plasma curing of MSQ-based porous low-k film materials.
  155. Berry, III, Ivan L.; Bridgewater, Todd; Chen, Wei; Han, Qingyuan; Moyer, Eric S.; Spaulding, Michael J.; Waldfried, Carlo, Plasma curing process for porous silica thin film.
  156. Wang,Feng; Schulberg,Michelle T.; Sun,Jianing; Humayun,Raashina; Van Cleemput,Patrick A., Plasma detemplating and silanol capping of porous dielectric films.
  157. Rocha-Alvarez, Juan Carlos; Zhao, Maosheng; Yu, Ying; Venkataraman, Shankar; Nemani, Srinivas D.; Xia, Li-Qun, Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power.
  158. Dhindsa,Raj; Kozakevich,Felix; Trussell,David Douglas, Plasma processor with electrode responsive to multiple RF frequencies.
  159. Hautala John J. ; Westendorp Johannes F. M., Plasma treated thermal CVD of TaN films from tantalum halide precursors.
  160. Huang,Judy, Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers.
  161. Tolles Robert D., Polishing pad with a partial adhesive coating.
  162. Kartik Ramaswamy ; Kwok Manus Wong ; Ashish Bhatnagar ; Mehran Moalem ; Tony S. Kaushal ; Shamouil Shamouilian, Porous ceramic liner for a plasma source.
  163. Cho Chi-Chen (Richardson TX) Gnade Bruce E. (Dallas TX) Smith Douglas M. (Albuquerque NM), Porous dielectric material with improved pore surface properties for electronics applications.
  164. Cho Chih-Chen ; Gnade Bruce E. ; Smith Douglas M. ; Changming Jin ; Ackerman William C. ; Johnston Gregory C., Porous dielectric material with improved pore surface properties for electronics applications.
  165. Lukas,Aaron Scott; O'Neill,Mark Leonard; Karwacki, Jr.,Eugene Joseph; Vrtis,Raymond Nicholas; Vincent,Jean Louise, Porous low dielectric constant compositions and methods for making and using same.
  166. Craig S. Allen ; Nikoi Annan ; Robert M. Blankenship ; Michael K. Gallagher ; Robert H. Gore ; Angelo A. Lamola ; Yujian You, Porous materials.
  167. Robert H. Gore ; Michael K. Gallagher ; Scott A. Ibbitson, Porous materials.
  168. You Yujian ; Lamola Angelo A. ; Gore Robert H. ; Gallagher Michael K. ; Annan Nikoi, Porous materials.
  169. Patrick Roger (Santa Clara CA) Bose Frank A. (Wettingen CHX), Power control and delivery in plasma processing equipment.
  170. Lopatin,Sergey; Shanmugasundram,Arulkumar; Emami,Ramin; Fang,Hongbin, Pretreatment for electroless deposition.
  171. Boitnott Charles A. (Half Moon Bay CA) Caughran James W. (Lodi CA) Egbert Steve (Palo Alto CA), Process chamber sleeve with ring seals for isolating individual process modules in a common cluster.
  172. Hedrick Jeffrey Curtis (Park Ridge NJ) Hedrick James Lupton (Pleasanton CA) Liao Yun-Hsin (W. Nyack NY) Miller Robert Dennis (San Jose CA) Shih Da-Yuan (Poughkeepsie NY), Process for making a foamed polymer.
  173. Shiraiwa, Hidehiko; Park, Jaeyong; Cheung, Fred T K; Halliyal, Arvind, Process for reducing hydrogen contamination in dielectric materials in memory devices.
  174. Nishida Shoji (Nagahama JPX), Process for the formation of a silicon-containing semiconductor thin film by chemically reacting active hydrogen atoms w.
  175. Barthel Herbert (Emmerting DEX) Heinemann Mario (Burghausen DEX) Herrmann Franz (Emmerting DEX) Altenbuchner August (St. Radegund DEX), Process for the silylation of inorganic oxides.
  176. Barthel Herbert,DEX ; Heinemann Mario,DEX ; Herrmann Franz,DEX ; Altenbuchner August,ATX, Process for the silylation of inorganic oxides.
  177. Brinker C. Jeffrey ; Anderson Mark T. ; Ganguli Rahul ; Lu Yunfeng, Process to form mesostructured films.
  178. Masahito Sugiura JP; Hiroshi Shinriki JP; Hideki Kiryu JP; Shintaro Aoyama JP, Processing apparatus and processing method.
  179. Varadarajan, Bhadri N., Progressive UV cure.
  180. RamachandraRao,Vijayakumar S.; Gracias,David H., Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials.
  181. Nguyen,Huong Thanh; Barnes,Michael Scott; Xia,Li Qun; Naik,Mehul, Selective etching of organosilicate films over silicon oxide stop etch layers.
  182. Yamazaki,Shunpei; Isobe,Atsuo; Yamaguchi,Tetsuji; Godo,Hiromichi, Semiconductor device with a floating gate electrode that includes a plurality of particles.
  183. Tarafdar, Raihan M.; Papasouliotis, George D.; Rulkens, Ron; Hausmann, Dennis M.; Tobin, Jeff; Tipton, Adrianne K.; Nie, Bunsen, Sequential deposition/anneal film densification method.
  184. Tarafdar,Raihan M.; Papasouliotis,George D.; Rulkens,Ron; Hausmann,Dennis M.; Tobin,Jeff; Tipton,Adrianne K.; Nie,Bunsen, Sequential deposition/anneal film densification method.
  185. Yasuto Sakai JP; Yasuhiro Saito JP; Hiroyuki Inomata JP, Silicon dioxide-coated polyolefin resin and process for its production.
  186. Nobuo Matsuki JP; Yuichi Naito JP; Yoshinori Morisada JP; Aya Matsunoshita JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  187. Shrinivasan, Krishna; Wang, Feng; Kamian, George; Gentile, Steve; Yam, Mark, Single-chamber sequential curing of semiconductor wafers.
  188. Shinriki Hiroshi,JPX ; Sugiura Masahito,JPX, Single-substrate-heat-processing method for performing reformation and crystallization.
  189. Clevenger,Lawrence A.; Chiras,Stefanie R.; Dalton,Timothy; Demarest,James J.; Dunn,Derren N.; Dziobkowski,Chester T.; Flaitz,Philip L.; Lane,Michael W.; Lloyd,James R.; Restaino,Darryl D.; Shaw,Thomas M.; Wang,Yun Yu; Yang,Chih Chao, Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer.
  190. Masakazu Sugaya JP; Fumio Murai JP; Yutaka Kaneko JP; Masafumi Kanetomo JP; Shigeki Hirasawa JP; Tomoji Watanabe JP; Tatuharu Yamamoto JP; Katsuhiro Kuroda JP, Substrate temperature control system and method for controlling temperature of substrate.
  191. Meder Martin G., System and method for flash photolysis cleaning of a semiconductor processing chamber.
  192. Rangarajan, Bharath; Singh, Bhanwar; Phan, Khoi A.; Choo, Bryan K.; Subramanian, Ramkumar, System and method for illuminating a semiconductor processing system.
  193. Soltz,David; Wieczorek,Paul; Zuo,Aaron; Toth,Gabor, Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems.
  194. Nunan,Peter; Nasser Ghodsi,Muhran; Borowicz,Mark; Garcia,Rudy F.; Chuang,Tzu Chin; Marchman,Herschel; Soltz,David, Systems configured to reduce distortion of a resist during a metrology process and systems and methods for reducing alteration of a specimen during analysis.
  195. Varadarajan, Bhadri; Chang, Sean; Sims, James S.; Lu, Guangquan; Mordo, David; Ilcisin, Kevin; Pandit, Mandar; Carris, Michael, Tensile dielectric films using UV curing.
  196. Angelopoulos Marie ; Babich Katherina ; Grill Alfred ; Halle Scott David ; Mahorowala Arpan Pravin ; Patel Vishnubhai Vitthalbhai, Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof.
  197. Chen,I I; Bao,Tien I; Cheug,Shwang Ming; Yu,Chen Hua, UV curing of low-k porous dielectrics.
  198. Shi Jianou ; Mitchener James C., UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition.
  199. van Schravendijk, Bart; Crew, William, UV treatment for carbon-containing low-k dielectric repair in semiconductor processing.
  200. van Schravendijk, Bart; Cho, Seon Mee, UV treatment of STI films for increasing tensile stress.
  201. van Schravendijk, Bart; Denisse, Christian, UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement.
  202. Mueller Karl F. (New York NY) Harisiades Paul (Hastings-on-Hudson NY), UV- and heat curable terminal polyvinyl functional macromers and polymers thereof.
  203. Scheffer Herbert D. (R.D. 2 ; Box 180A Glen Gardner NJ 08826), Ultraviolet curing lamp device.
  204. Waldfried, Carlo; Han, Qingyuan; Escorcia, Orlando; Berry, III, Ivan L., Ultraviolet curing processes for advanced low-k materials.
  205. van den Hoek,Willibrordus Gerardus Maria; Draeger,Nerissa S.; Humayun,Raashina; Hill,Richard S.; Sun,Jianing; Ray,Gary William, VLSI fabrication processes for introducing pores into dielectric materials.
  206. Birnbaum, Jerome; Maupin, Gary; Dunham, Glen; Fryxell, Glen; Baskaran, Suresh, Vacuum/gas phase reactor for dehydroxylation and alkylation of porous silica.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로