$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Implantable biomedical devices on bioresorbable substrates 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • A61B-005/04
  • A61N-001/05
  • A61B-005/05
  • B82Y-015/00
  • A61B-005/00
  • A61L-031/04
  • A61L-031/14
출원번호 US-0140299 (2013-12-24)
등록번호 US-9986924 (2018-06-05)
발명자 / 주소
  • Rogers, John A.
  • Kim, Dae-Hyeong
  • Omenetto, Fiorenzo
  • Kaplan, David L.
  • Litt, Brian
  • Viventi, Jonathan
  • Huang, Yonggang
  • Amsden, Jason
출원인 / 주소
  • The Board of Trustees of the University of Illinois
대리인 / 주소
    Lathrop Gage LLP
인용정보 피인용 횟수 : 0  인용 특허 : 177

초록

Provided herein are implantable biomedical devices and methods of administering implantable biomedical devices, making implantable biomedical devices, and using implantable biomedical devices to actuate a target tissue or sense a parameter associated with the target tissue in a biological environmen

대표청구항

1. An implantable biomedical device for actuating a target tissue or sensing a parameter associated with the target tissue in a biological environment, said device comprising: a bioresorbable substrate;an electronic device comprising one or more metallic conductor components including at least one e

이 특허에 인용된 특허 (177)

  1. Akiyama, Masahiko, Active matrix substrate and method of manufacturing the same.
  2. Pan, Noren; Han, Byung-Kwon, AlGaAs or InGaP low turn-on voltage GaAs-based heterojunction bipolar transistor.
  3. Aurichio Joseph A. (Anderson SC) Fisher Paul D. (Anderson SC), Alpha-particle protection of semiconductor devices.
  4. Smith John Stephen ; Yeh Hsi-Jen J. ; Hadley Mark A. ; Verma Ashish K., Apparatus for fabricating self-assembling microstructures.
  5. Mickelsen Reid A. (Bellevue WA) Chen Wen S. (Seattle WA), Apparatus for forming thin-film heterojunction solar cells employing materials selected from the class of I-III-VI2.
  6. Craig, Gordon S. W.; Snyder, Eric Jonathan; Tu, Jay Kuang-Jieh, Apparatus relating to block configurations and fluidic self-assembly processes.
  7. Jacobsen, Jeffrey Jay; Smith, John Stephen; Hadley, Mark A., Apparatuses and methods for flexible displays.
  8. Jacobsen, Jeffrey Jay; Smith, John Stephen; Hadley, Mark A., Apparatuses and methods for forming electronic assemblies.
  9. Jacobsen, Jeffrey Jay; Stewart, Roger Green, Apparatuses and methods for forming electronic assemblies.
  10. Jacobsen Jeffrey Jay ; Gengel Glenn Wilhelm ; Smith John Stephen, Apparatuses and methods used in forming assemblies.
  11. Anvar,David; Reynolds,Heidi Linch, Arrays for multiplexed surface plasmon resonance detection of biological molecules.
  12. Bakhit Gabriel G. ; Averkiou George, Attachment method for assembly of high density multiple interconnect structures.
  13. Amundson,Karl R.; Chen,Yu; Denis,Kevin L.; Drzaic,Paul S.; Kazlas,Peter T.; Ritenour,Andrew P., Backplanes for display applications, and components for use therein.
  14. Saurer Eric,CHX, Batch manufacturing method for photovoltaic cells.
  15. Kasai,Eiji; Fukui,Ryo, Bioelectric signal detector and massage machine.
  16. Canham Leigh T,GBX, Biomaterial.
  17. Trantolo Debra J., Biopolymer-based optical element.
  18. Welser,Roger E.; Deluca,Paul M.; Pan,Noren, Bipolar transistor with lattice matched base layer.
  19. Lebron Fernando C. (London CAX) Brown Jonathan P. (London CAX), Cardiac mapping system simulator.
  20. Curtis, Adam Sebastian Genevieve; Wilkinson, Christopher David Wicks, Cell adhesion.
  21. Mech,Brian V.; Greenberg,Robert J.; DelMain,Gregory J., Chip level hermetic and biocompatible electronics package using SOI wafers.
  22. Jiang Hao ; Su Weijie ; Cooper Thomas M., Chitosan optical materials.
  23. Liehrr Michael,DEX ; Lotz Hans-Georg,DEX, Coating system transparent to light and reflecting thermal radiation.
  24. Rogers,John A.; Menard,Etienne, Composite patterning devices for soft lithography.
  25. Branham Barry H. (Ballwin MO) Cox James L. (Ladue MO) Boineau John P. (Ladue MO) Schuessler Richard B. (Ballwin MO), Computerized three-dimensional cardiac mapping with interactive visual displays.
  26. Kaplan, David L.; Kim, Ung Jin; Park, Jaehyung; Jin, Hyoung Joon, Concentrated aqueous silk fibroin solution and use thereof.
  27. Roush, Jerry A.; Schmidt, John F.; Dodd, Sonia R., Conductor geometry for electronic circuits fabricated on flexible substrates.
  28. Mertol Atila, Conformal diamond coating for thermal improvement of electronic packages.
  29. Rogers, John A.; Meitl, Matthew; Sun, Yugang; Ko, Heung Cho; Carlson, Andrew; Choi, Won Mook; Stoykovich, Mark; Jiang, Hanqing; Huang, Yonggang; Nuzzo, Ralph G.; Lee, Keon Jae; Zhu, Zhengtao; Menard, Etienne; Khang, Dahl-Young; Kang, Seong Jun; Ahn, Jong Hyun; Kim, Hoon-sik, Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics.
  30. Chen,Howard Hao; Hsu,Louis L.; Shepard, Jr.,Joseph F., Cooling system for a semiconductor device and method of fabricating same.
  31. Chen,Howard Hao; Hsu,Louis L.; Shepard, Jr.,Joseph F., Cooling system for a semiconductor device and method of fabricating same.
  32. Einset Erik O. (Niskayuna NY), Diamond film structure with high thermal conductivity.
  33. O'Connor,Michael; Haley,Kevin J.; Sur,Biswajit, Diamond heat spreading and cooling technique for integrated circuits.
  34. Chen, Jian; Von Ehr, James R., Directional assembly of carbon nanotube strings.
  35. Barrett Comiskey ; Joseph M. Jacobson, Displays combining active and non-active inks.
  36. DeLonzor Russell (Union City CA) Middleman Lee (Portola Valley CA), Disposable pulse oximeter sensor.
  37. Nozawa, Ryoichi; Kimura, Mutsumi; Inoue, Satoshi, Disposing method for semiconductor elements.
  38. Hadley, Mark Alfred; Eisenhardt, Randolph Wilfred, Double-metal background driven displays.
  39. Boling, C. Lance; Chao, Daniel; Morrell, Martha J.; Pless, Benjamin D.; Tcheng, Thomas K.; Wingeier, Brett M., Drug eluting lead systems.
  40. Smith Adlai H. (San Diego CA), Dual plate holographic imaging technique and masks.
  41. Hermanns, Anno; Eisenhardt, Randolph W.; Gengel, Glenn W., Electrical contacts for flexible displays.
  42. Epstein, Arthur J.; Feinberg, Stephen E.; Hansford, Derek J.; Yang, Yanyin, Electrical stimulation of cell and tissue growth with two-and three-dimensionally patterned electrodes.
  43. Abramson, Justin; Amundson, Karl R.; Danner, Guy M.; Duthaler, Gregg M.; Gates, Holly G.; Honeyman, Charles H.; Knaian, Ara N.; Morrison, Ian D.; O'Neil, Steven J.; Paolini, Jr., Richard J.; Pullen, , Electro-optic displays, and methods for driving same.
  44. Berggren,Magnus; Kugler,Thomas; Remonen,Tommi; H?ll,Jessica; Malmstr?m,Anna, Electrochemical device.
  45. Credelle, Thomas Lloyd; Gengel, Glenn; Stewart, Roger Green; Joseph, William Hill, Electronic devices with small functional elements supported on a carrier.
  46. Joshi Rajiv Vasant ; Reohr William Robert, Embedded thermal conductors for semiconductor chips.
  47. Smart,Joseph; Hosse,Brook; Gibb,Shawn; Grider,David; Shealy,Jeffrey B., Epitaxy/substrate release layer.
  48. Kuroda Ryo,JPX ; Ikeda Tsutomu,JPX ; Shimada Yasuhiro,JPX, Exposure method and exposure apparatus.
  49. Jacobson, Joseph M.; Bulthaup, Colin A.; Wilhelm, Eric J.; Hubert, Brian N., Fabrication of finely featured devices by liquid embossing.
  50. Lindmayer Joseph (Bethesda MD), Fabrication of thin film solar cells utilizing epitaxial deposition onto a liquid surface to obtain lateral growth.
  51. James Gregory Bentsen ; Rolf Werner Biernath, Film based addressable programmable electronic matrix articles and methods of manufacturing and using the same.
  52. Katoh Tadanobu (1291 Chihara ; Yakuno-cho ; Amada-gun Kyoto JPX), Flat display.
  53. Chen James C. ; Wiscombe Brent, Flexible and adjustable grid for medical therapy.
  54. Comiskey, Barrett; Albert, Jonathan D.; Jacobson, Joseph M.; Zhang, Libing; Loxley, Andrew; Feeney, Robert; Drzaic, Paul, Flexible displays.
  55. Gazdik Charles E. (Endicott NY) McBride Donald G. (Binghamton NY) Seraphim Donald P. (Vestal NY) Toole Patrick A. (Westport CT), Full panel electronic packaging structure and method of making same.
  56. Smith John Stephen, Functionally symmetric integrated circuit die.
  57. Pan, Noren; Han, Byung-Kwon, Graded base GaAsSb for high speed GaAs HBT.
  58. Tachibana,Takeshi; Hayashi,Kazushi; Inoue,Kenichi; Yokota,Yoshihiro; Kobashi,Koji; Kawakami,Nobuyuki; Kobori,Takashi, Heat spreader and semiconductor device and package using the same.
  59. Gauzner, Gennady; Wago, Koichi; Kuo, David Shiao-Min, Heat-transfer-stamp process for thermal imprint lithography.
  60. Iversen Alfred A., Implantable electrode manufactured with flexible printed circuit.
  61. Greenberg, Robert J.; Talbot, Neil Hamilton; Neysmith, Jordan Matthew; Ok, Jerry; Jiang, Honggang, Implantable microelectronic device and method of manufacture.
  62. Deeman, Neil; Gauzner, Gennady, Imprint lithography utilizing room temperature embossing.
  63. Mills Ross Neal, Ink jet printhead and method of making.
  64. Gengel, Glenn Wilhelm, Integrated circuit packages assembled utilizing fluidic self-assembly.
  65. Glenn Wilhelm Gengel, Integrated circuit packages assembled utilizing fluidic self-assembly.
  66. Vicentini, Frederic J.; Credelle, Thomas L., Interconnect structure for electronic devices.
  67. Bassous Ernest (Riverdale NY) Kuhn Lawrence (Ossining NY) Taub Howard H. (Mount Kisco NY), Jet nozzle structure for electrohydrodynamic droplet formation and ink jet printing system therewith.
  68. Rajeswaran Gopalan, Light-emitting diode arrays with integrated photodetectors formed as a monolithic device and methods and apparatus for.
  69. Pan, Noren; Han, Byung-Kwon, Low emitter resistance contacts to GaAs high speed HBT.
  70. Cann Gordon L. (P.O. Box 279 Laguna Beach CA 92652), Magnetoplasmadynamic apparatus and process for the separation and deposition of materials.
  71. Cann Gordon L. (P.O. Box 279 Laguna Beach CA 92652), Magnetoplasmadynamic apparatus for the separation and deposition of materials.
  72. Vedamuttu Paul,GBX, Manufacture of optical data storage disc.
  73. Vandeputte, Jacques; Bourdet, Florine, Mechanical resistance of a single-crystal silicon wafer.
  74. Schouenborg, Jens, Medical electrode, electrode bundle and electrode bundle array.
  75. Smith John Stephen ; Yeh Hsi-Jen J., Method and apparatus for fabricating self-assembling microstructures.
  76. Smith, John Stephen, Method and apparatus for fabricating self-assembling microstructures.
  77. Schatz, Kenneth David, Method and apparatus for self-assembly of functional blocks on a substrate facilitated by electrode pairs.
  78. Credelle, Thomas L.; Alvarado, Omar; Craig, Gordon S. W.; Hadley, Mark A.; Schatz, Kenneth D., Method and apparatus for transferring blocks.
  79. Harrington Douglas C. ; Bowman Brett ; Breining Peter M., Method and apparatus for tubal occlusion.
  80. He, Bin, Method and apparatus of three dimension electrocardiographic imaging.
  81. Vaganov, Vladimir, Method for fabricating microstructures with deep anisotropic etching of thick silicon wafers.
  82. Smith John S. (Berkeley CA) Yeh Hsi-Jen J. (Covina CA), Method for fabricating self-assembling microstructures.
  83. Smith John Stephen ; Yeh Hsi-Jen J., Method for fabricating self-assembling microstructures.
  84. Kaplan, David L.; Li, Chunmei, Method for forming inorganic coatings.
  85. Ueno Katsunori,JPX, Method for forming thermal oxide film of silicon carbide semiconductor device.
  86. Chan Kevin Kok ; D'Emic Christopher Peter ; Jones Erin Catherine ; Solomon Paul Michael ; Tiwari Sandip, Method for making bonded metal back-plane substrates.
  87. Cann Gordon L. (Laguna Beach) Shephard ; Jr. Cecil B. (Laguna Beach) McKevitt Frank X. (Anaheim Hills CA), Method for plasma deposition on apertured substrates.
  88. Rogers, John A., Method for preventing distortions in a flexibly transferred feature pattern.
  89. Wild, Heinrich; Brehm, Ludwig; Hansen, Achim, Method for production of a film.
  90. Laermer Franz (Stuttgart DEX) Schilp Andrea (Schwbisch Gmnd DEX), Method of anisotropically etching silicon.
  91. Ball,Michael B., Method of fabrication of stacked semiconductor devices.
  92. Mech,Brian V.; Greenberg,Robert J.; DelMain,Gregory J., Method of forming an implantable electronic device chip level hermetic and biocompatible electronics package using SOI wafers.
  93. Heller James W. (Englewood CO) Lipson David (Indianapolis IN), Method of making a thin film electrical component.
  94. Wollam John S. (Acton MA), Method of making biocompatible electrodes.
  95. Gresser Joseph D. (Brookline MA) Trantolo Debra J. (Princeton MA) Wise Donald L. (Belmont MA) Wnek Gary E. (Latham NY), Method of making biopolymer-based nonlinear optical materials.
  96. Blakers,Andrew William; Weber,Klaus Johannes, Method of making thin silicon sheets for solar cells.
  97. Hammond, Richard; Currie, Matthew, Method of selective removal of SiGe alloys.
  98. Kornilovich,Pavel; Mardilovich,Peter; Peters,Kevin F, Method of utilizing a contact printing stamp.
  99. Smith, John Stephen; Hadley, Mark A.; Craig, Gordon S. W.; Lowe, Frank, Methods and apparatus for fluidic self assembly.
  100. Smith, John Stephen; Hadley, Mark A.; Craig, Gordon S. W.; Nealey, Paul F., Methods and apparatuses for improved flow in performing fluidic self assembly.
  101. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  102. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  103. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Methods and devices for fabricating and assembling printable semiconductor elements.
  104. Rogers, John A.; Jeon, Seokwoo; Park, Jangung, Methods and devices for fabricating three-dimensional nanoscale structures.
  105. Ciaccio Edward J. ; Wit Andrew L., Methods and systems for localizing reentrant circuits from electrogram features.
  106. Smith, John Stephen; Hadley, Mark A.; Tu, Jay, Methods for creating elements of predetermined shape and apparatus using these elements.
  107. John Stephen Smith ; Mark A. Hadley ; Jay Tu, Methods for creating elements of predetermined shape and apparatuses using these elements.
  108. Jacobsen Jeffrey Jay ; Gengel Glenn Wilhelm ; Craig Gordon S. W., Methods for fabricating a multiple modular assembly.
  109. Jacobsen Jeffrey Jay ; Hadley Mark A. ; Craig Gordon S. W., Methods for forming assemblies.
  110. John Stephen Smith ; Mark A. Hadley ; Gordon S. W. Craig ; Frank Lowe, Methods for forming openings in a substrate and apparatuses with these openings and methods for creating assemblies with openings.
  111. Bulthaup, Colin; Spindt, Chris, Methods for patterning using liquid embossing.
  112. Jacobsen, Jeffrey Jay; Hadley, Mark A.; Smith, John Stephen, Methods for transferring elements from a template to a substrate.
  113. Bakhit Gabriel G. (Huntington Beach CA) Pillai Vincent A. (Irvine CA) Averkiou George (Upland CA) Trask Philip A. (Laguna Hills CA), Methods of forming two-sided HDMI interconnect structures.
  114. Duan, Xiangfeng; Daniels, Hugh; Niu, Chunming; Sahi, Vijendra; Hamilton, James; Romano, Linda T., Methods of positioning and/or orienting nanostructures.
  115. Gan Feng Yuan,CAX ; Shih Ishiang,CAX, Methods to fabricate thin film transistors and circuits.
  116. M철ller,Sven; Forrest,Stephen R., Micro-lens arrays for display intensity enhancement.
  117. William A. Clark ; Mark A. Lemkin ; Thor N. Juneau ; Allen W. Roessig, Microfabricated structures with trench-isolation using bonded-substrates and cavities.
  118. Fattinger Christof (Blauen CHX), Microoptical sensor and method.
  119. Sakata,Ken; Hayashi,Katsuhiko, Mold release layer transferring film and laminate film.
  120. Kondo, Takayuki; Shimoda, Tatsuya, Mountable microstructure and optical transmission apparatus.
  121. Credelle, Thomas Lloyd; Gengel, Glenn; Stewart, Roger Green; Joseph, William Hill, Multi-feature-size electronic structures.
  122. Kang Sung-gyu,KRX ; Lee Ki Bang,KRX ; Choi Jae-joon,KRX ; Jeong Hee-moon,KRX, Multilayered wafer with thick sacrificial layer using porous silicon or porous silicon oxide and fabrication method thereof.
  123. Nuzzo, Ralph G.; Rogers, John A.; Mack, Nathan H.; Stewart, Matthew; Malyarchuk, Viktor; Yao, Jimin, Multispectral plasmonic crystal sensors.
  124. Chou Stephen Y., Nanoimprint lithography.
  125. Scher, Erik; Buretea, Mihai A.; Chow, Calvin; Empedocles, Stephen; Meisel, Andreas; Parce, J. Wallace, Nanostructure and nanocomposite based compositions and photovoltaic devices.
  126. Rueckes, Thomas; Segal, Brent M., Nanotube films and articles.
  127. Kolpe Vasant V. (Mendota Heights MN) Williams Paul M. (St. Paul MN), Noble metal-polymer composites and flexible thin-film conductors prepared therefrom.
  128. Starikov, David; Berishev, Igor; Bensaoula, Abdelhak, One-chip micro-integrated optoelectronic sensor.
  129. Rogers, John; Nuzzo, Ralph; Meitl, Matthew; Menard, Etienne; Baca, Alfred J.; Motala, Michael; Ahn, Jong-Hyun; Park, Sang-II; Yu, Chang-Jae; Ko, Heung-Cho; Stoykovich, Mark; Yoon, Jongseung, Optical systems fabricated by printing-based assembly.
  130. Whiteford, Jeffery A.; Buretea, Mihai A.; Scher, Erik, Organic species that facilitate charge transfer to or from nanostructures.
  131. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao, Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp.
  132. Smith, John Stephen, Pixel integrated circuit.
  133. Nowak Edward D., Polysilicon pillar heat sinks for semiconductor on insulator circuits.
  134. Gregg Duthaler ; Karl R. Amundson ; Paul S. Drzaic ; Peter T. Kazlas ; Jianna Wang, Preferred methods for producing electrical circuit elements used to control an electronic display.
  135. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn, Printable semiconductor structures and related methods of making and assembling.
  136. Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang, Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn, Printable semiconductor structures and related methods of making and assembling.
  137. Hanak Joseph J. (Birmingham MI), Process and apparatus for continuous production of lightweight arrays of photovoltaic cells.
  138. Howard Edan Katz, Process for fabricating organic semiconductor device involving selective patterning.
  139. Hara Kazukuni,JPX ; Tokura Norihito,JPX ; Miyajima Takeshi,JPX ; Fuma Hiroo,JPX ; Kano Hiroyuki,JPX, Process for producing a semiconductor device having a single thermal oxidizing step.
  140. Rogers, John A.; Nuzzo, Ralph G.; Meitl, Matthew; Ko, Heung Cho; Yoon, Jongseung; Menard, Etienne; Baca, Alfred J., Release strategies for making transferable semiconductor structures, devices and device components.
  141. Cole ; Jr. Herbert S. (Burnt Hills NY) Sitnik-Nieters Theresa A. (Scotia NY) Wojnarowski Robert J. (Ballston Lake NY) Lupinski John H. (Vienna VA), Reworkable high density interconnect structure incorporating a release layer.
  142. Crafts Harold S. (Colorado Springs CO) McKinley William W. (Fort Collins CO) Scaggs Mark Q. (Parker CO), Semiconductor fuse structure.
  143. Chen, Shiuh-Hui Steven; Garza, Raymond; Ross, Carl; Turalski, Stefan, Semiconductor wafer having a thin die and tethers and methods of making the same.
  144. Keimel, John G., Sensor system.
  145. Kaplan, David L.; Jin, Hyoung-Joon; Rutledge, Gregory; Fridrikh, Sergey, Silk biomaterials and methods of use thereof.
  146. Kaplan, David L.; Nazarov, Rina; Vunjak-Novakovic, Gordana; Meinel, Lorenz, Silk fibroin materials and use thereof.
  147. Salerno Jack P. ; Zavracky Paul M. ; Spitzer Mark B. ; Dingle Brenda, Single crystal silicon arrayed devices with optical shield between transistor and substrate.
  148. Bernkopf, Jan, Split-fabrication for light emitting display structures.
  149. Biebuyck Hans Andre,CHX ; Michel Bruno,CHX, Stamp for a lithographic process.
  150. Carlton Grant Willson ; Matthew Earl Colburn, Step and flash imprint lithography.
  151. Rogers, John A.; Huang, Yonggang; Ko, Heung Cho; Stoykovich, Mark; Choi, Won Mook; Song, Jizhou; Ahn, Jong Hyun; Kim, Dae Hyeong, Stretchable and foldable electronic devices.
  152. Rogers, John A.; Khang, Dahl Young; Sun, Yugang, Stretchable form of single crystal silicon for high performance electronics on rubber substrates.
  153. Rogers, John A.; Khang, Dahl-Young; Sun, Yugang; Menard, Etienne, Stretchable form of single crystal silicon for high performance electronics on rubber substrates.
  154. Biegelsen, David Kalman; Fork, David; Reich, James, Stretchable interconnects using stress gradient films.
  155. Rogers, John A.; Khang, Dahl Young; Menard, Etienne, Stretchable semiconductor elements and stretchable electrical circuits.
  156. Madsen Joseph R., Subdural electrode arrays for monitoring cortical electrical activity.
  157. Chang Mike F. ; Owyang King ; Hshieh Fwu-Iuan ; Ho Yueh-Se ; Dun Jowei, Surface mount and flip chip technology for total integrated circuit isolation.
  158. Chang Mike F. ; Owyang King ; Hshieh Fwu-Iuan ; Ho Yueh-Se ; Dun Jowei, Surface mount and flip chip technology for total integrated circuit isolation.
  159. Chang Mike F. ; Owyang King ; Hshieh Fwu-Iuan ; Ho Yueh-Se ; Dun Jowei ; Fusser Hans-Jurgen,DEX ; Zachai Reinhard,DEX, Surface mount and flip chip technology with diamond film passivation for total integated circuit isolation.
  160. Gillette Joseph G. ; Potter Scott ; Lall Pradeep, Surface mountable flexible interconnect.
  161. Douglas Donald, System and method of stimulation for treating gastro-esophageal reflux disease.
  162. Arneson, Michael R.; Bandy, William R., System and method of transferring dies using an adhesive surface.
  163. Van Wijk Frank,NLX ; Kramm Berthold,NLX ; Kretzers Leo,NLX ; Hendriks Marc,NLX, Temporary medical electrical lead having biodegradable electrode mounting pad.
  164. John Stephen Smith, Testing integrated circuit dice.
  165. Beyer Klaus D. (Poughkeepsie NY) Hsieh Chang-Ming (Fishkill NY) Hsu Louis L. (Fishkill NY) Kotecki David E. (Hopewell Junction NY) Yuan Tsoring-Dih (Hopewell Junction NY), Thermal dissipation of integrated circuits using diamond paths.
  166. Huang,Hua; Liu,Chang Hong; Fan,Shou Shan, Thermal interface material with carbon nanotubes.
  167. Leu,Charles; Yu,Tai Cheng; Chen,Ga Lane, Thermal interface with silver-filled carbon nanotubes.
  168. Simpson Matthew (Sudbury MA), Thermal management of electronic components using synthetic diamond.
  169. Ikemizu,Dai; Kataoka,Emiko; Suzuki,Takatugu; Yoshida,Kazuya; Yamashita,Hiroyuki, Thermal transfer recording material and thermal transfer recording method.
  170. Suzuki,Taro; Fukui,Daisuke; Fujita,Masahiro, Thermally transferable image protective sheet, method for protective layer formation, and record produced by said method.
  171. Douglas Monte A. (Coppell TX), Trench etch process for a single-wafer RIE dry etch reactor.
  172. Douglas Monte A. (Coppell TX), Trench etch process for a single-wafer RIE dry etch reactor.
  173. Parker John L. (Lane Cove AUX) Treaba Claudiu G. (Wollstonecraft AUX), Use of bioresorbable polymers in cochlear implants and other implantable devices.
  174. Schulte Donald W., Variable drop mass inkjet drop generator.
  175. Bogusiewicz Valentine (Plymouth MN) Einck Cydney A. (Edina MN), Water-degradable electrode.
  176. Hadley, Mark A.; Chiang, Ann; Craig, Gordon S. W.; Jacobsen, Jeffrey Jay; Smith, John Stephen; Tu, Jay; Stewart, Roger Green, Web fabrication of devices.
  177. Jeffrey Jay Jacobsen ; Glenn Wilhelm Gengel ; Mark A. Hadley ; Gordon S. W. Craig ; John Stephen Smith, Web process interconnect in electronic assemblies.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로