$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

3D stacked multilayer semiconductor memory using doped select transistor channel 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-027/115
  • H01L-027/11578(2017.01)
  • H01L-027/11582(2017.01)
  • H01L-027/1157
출원번호 US-0254605 (2016-09-01)
등록번호 US-10090316 (2018-10-02)
발명자 / 주소
  • Ootsuka, Fumio
출원인 / 주소
  • ASM IP Holding B.V.
대리인 / 주소
    Snell & Wilmer L.L.P.
인용정보 피인용 횟수 : 4  인용 특허 : 695

초록

In 3D stacked multilayer semiconductor memories including NAND and NOR flash memories, a lightly boron-doped layer is formed on top of a heavily boron-doped layer to form a select transistor, wherein the former serves as a channel of the select transistor and the latter serves as an isolation region

대표청구항

1. 3D stacked multilayer semiconductor memory comprising: memory transistors constituted by heavily doped N-type semiconductor layers and lightly doped or undoped P-type semiconductor layers alternately layered with one another in a stacking direction, each layer extending in a longitudinal directio

이 특허에 인용된 특허 (695)

  1. Sneh, Ofer, ALD apparatus and method.
  2. Oohashi,Kaoru; Mizukami,Shunsuke; Ueda,Takehiro, Absorption board for an electric chuck used in semiconductor manufacture.
  3. Shankar N. Chandran ; Scott Hendrickson ; Gwendolyn D. Jones ; Shankar Venkataraman ; Ellie Yieh, Accelerated plasma clean.
  4. Bonora,Anthony C.; Hine,Roger G.; Nobles, Jr.,D. Wayne; Riley,Norma B., Active edge grip rest pad.
  5. Kinnard, David W.; Richardson, Daniel B., Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system.
  6. Neu Steven K., Adjustable lockout device for knife gate valves.
  7. Liu, Xinye; Collins, Joshua; Ashtiani, Kaihan A., Adsorption based material removal process.
  8. Lory Earl R. (Pennington NJ) Olmer Leonard J. (Austin TX), Anisotropic deposition of silicon dioxide.
  9. Marquardt, David; Shugrue, John, Apparatus and method for calculating a wafer position in a processing chamber under process conditions.
  10. Carpenter,Craig M.; Mardian,Allen P.; Dando,Ross S.; Tschepen,Kimberly R.; Derderian,Garo J., Apparatus and method for depositing materials onto microelectronic workpieces.
  11. Raaijmakers, Ivo, Apparatus and method for growth of a thin film.
  12. Park Jun Sig,KRX ; Kim Young Sun,KRX ; Kim Jung Ki,KRX, Apparatus and method for low pressure chemical vapor deposition using multiple chambers and vacuum pumps.
  13. Jackson Scott C. (Wilmington DE) Rocheleau Richard E. (Wilmington DE), Apparatus and method for photochemical vapor deposition.
  14. Liu Yung S. (Schenectady NY) Grubb Willard T. (Schenectady NY), Apparatus and method for photoetching of polyimides, polycarbonates and polyetherimides.
  15. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  16. Stanislaw Kopacz ; Douglas Arthur Webb ; Gerrit Jan Leusink ; Rene Emile LeBlanc ; Michael S. Ameen ; Joseph Todd Hillman ; Robert F. Foster ; Robert Clark Rowan, Jr., Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  17. Kamiya, Tatsuo, Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum.
  18. Rocha Alvarez, Juan Carlos; Nowak, Thomas; Du Bois, Dale R.; Baluja, Sanjeev; Hendrickson, Scott A.; Ho, Dustin W.; Kaszuba, Andrzei; Cho, Tom K., Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors.
  19. Cekic, Miodrag; Geller, Boris, Apparatus and method providing substantially two-dimensionally uniform irradiation.
  20. Yoder Max N. (Falls Church VA), Apparatus for and a method of growing thin films of elemental semiconductors.
  21. Nakano Masao (Higashi-Sumiyoshi JPX) Mori Keiichi (Hyogo JPX) Hiraiwa Yoshitaka (Fukuoka JPX) Iizuka Shoji (Kita-Kyushu JPX) Shima Shozo (Chiba JPX) Nakamura Yukio (Chiba JPX), Apparatus for continuously measuring temperature of molten metal and method for making same.
  22. Liu, Benjamin Y. H.; Dinh, Thuc M.; Dick, William D.; Collins, Aaron M.; Romay, Francisco J., Apparatus for counting particles in a gas.
  23. Bahng, Kenneth J.; Davis, Matthew F.; Morey, Travis; Carducci, James D., Apparatus for efficient removal of halogen residues from etched substrates.
  24. Soininen Pekka,FIX ; Patteri Janne,FIX, Apparatus for growing thin films.
  25. Takahashi Mitsukazu (Kyoto JPX) Chiba Takatoshi (Kyoto JPX) Nishii Kiyofumi (Kyoto JPX), Apparatus for heat-treating wafer by light-irradiation and device for measuring temperature of substrate used in such ap.
  26. Mayusumi, Masanori; Imai, Masato; Inoue, Kazutoshi; Nakahara, Shinji; Gima, Shintoshi, Apparatus for manufacturing semiconductor wafer.
  27. Brooks Ray G. ; Brooks Timothy W. ; Fowler Stephen L., Apparatus for packaging contaminant-sensitive articles and resulting package.
  28. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Apparatus for performing growth of compound thin films.
  29. Motoda Takashi (Itami JPX) Karakida Shoichi (Itami JPX) Kaneno Nobuaki (Itami JPX) Kageyama Shigeki (Itami JPX), Apparatus for producing compound semiconductor devices.
  30. Zhao Jun ; Sinha Ashok ; Tepman Avi ; Chang Mei ; Luo Lee ; Schreiber Alex ; Sajoto Talex ; Wolff Stefan ; Dornfest Charles ; Danek Michal, Apparatus for substrate processing with improved throughput and yield.
  31. Omstead, Thomas R.; Wongsenakhum, Panya; Messner, William J.; Nagy, Edward J.; Starks, William; Moslehi, Mehrdad M., Apparatus for supporting a substrate in a reaction chamber.
  32. Lee, Bong-Ju; Yoo, Suk-Jae, Apparatus for treating the surface with neutral particle beams.
  33. Aarseth Finn,NOX, Arrangement in a pipe bundle.
  34. Robson David,GBX, Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element.
  35. Robson David,GBX, Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element.
  36. Nguyen,Tue; Nguyen,Tai Dung; Bercaw,Craig Alan, Assembly line processing method.
  37. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  38. Derderian, Garo J.; Sandhu, Gurtej Singh, Atomic layer deposition and conversion.
  39. Hyun Kwang-Soo,KRX ; Park Kyung-ho,KRX ; Yoon Neung-goo,KRX ; Choi Kang-jun,KRX ; Jeong Soo-hong,KRX, Atomic layer deposition apparatus for depositing atomic layer on multiple substrates.
  40. Ueda, Shintaro, Atomic layer deposition for controlling vertical film growth.
  41. Derderian, Garo J.; Meng, Shuang; Dynka, Danny, Atomic layer deposition method of depositing an oxide on a substrate.
  42. Derderian,Garo J.; Meng,Shuang; Dynka,Danny, Atomic layer deposition method of depositing an oxide on a substrate.
  43. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of ZrHfSnOfilms as high k gate dielectrics.
  44. Lee,Sang In; Owyang,Jon S.; Senzaki,Yoshihide; Helms, Jr.,Aubrey L.; Kapkin,Karem, Atomic layer deposition of hafnium-based high-k dielectric.
  45. Kilpela, Olli; Saanila, Ville; Li, Wei-Min; Elers, Kai-Erik; Kostamo, Juhana; Raaijmakers, Ivo; Granneman, Ernst, Atomic layer deposition reactor.
  46. Yoder Max N. (Falls Church VA), Atomic layer etching.
  47. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  48. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  49. Elmer Arthur E. H. (Stroud GB2), Automatic control valves.
  50. Rozbicki,Robert; Danek,Michal, Barrier first method for single damascene trench applications.
  51. Brenes Arthur, Bellows driver slot valve.
  52. Lang, Chi I; Huang, Judy H.; Barnes, Michael; Shanker, Sunil, CVD flowable gap fill.
  53. Lang, Chi-I; Huang, Judy H.; Barnes, Michael; Shanker, Sunil, CVD flowable gap fill.
  54. Wang, Feng; Lu, Victor Y.; Lu, Brian; Yau, Wai-Fan; Draeger, Nerissa, CVD flowable gap fill.
  55. Cruse Richard (Kendall Park NJ) Szalai Veronika (New Haven CT) Clark Terence (Princeton NJ) Rohman Stephen (Kendall Park NJ) Mininni Robert (Stockton NJ), CVD of silicon-based ceramic materials on internal surface of a reactor.
  56. Nishitani Eisuke (Yokohama JPX) Tsuzuku Susmu (Tokyo JPX) Chiba Natsuyo (Tokyo JPX) Kobayashi Shigeru (Hiratsuki JPX) Tamura Naoyuki (Kudamatsu JPX) Uchida Norihiro (Fujisawa JPX), CVD reactor apparatus.
  57. Lee Hsing-Chung (Woodland Hills CA), CVD reactor with uniform layer depositing ability.
  58. Arai, Hirofumi, Calibration method of UV sensor for UV curing.
  59. Lieber Charles M. ; Dai Hongjie, Carbide nanomaterials.
  60. Lee, Ming-Chang; Tseng, Chih-Kuo, Carrier channel with element concentration gradient distribution and fabrication method thereof.
  61. Saeki, Hiroaki; Kondoh, Keisuke, Carrying device.
  62. Shrinivasan,Krishnan; Gentile,Stephen; Woytowitz,Peter; Roham,Sassan; Kamian,George, Cast pedestal with heating element and coaxial heat exchanger.
  63. Narushima, Masaki, Ceramic heater system and substrate processing apparatus having the same installed therein.
  64. Halpin, Michael; Shero, Eric; White, Carl; Alokozai, Fred; Winkler, Jerry; Dunn, Todd, Chamber sealing member.
  65. Sahin, Turgut; Wang, Yaxin; Xi, Ming, Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application.
  66. Chen Chen-An ; Littau Karl Anthony, Chemical vapor deposition manifold.
  67. Remington, Jr., Michael P., Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby.
  68. Hampden-Smith Mark ; Kunze Klaus ; Nyman May, Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate li.
  69. Monkowski Joseph R. (Carlsbad CA) Logan Mark A. (Carlsbad CA), Chemical vapor deposition reactor and method of use thereof.
  70. Uzoh,Cyprian Emeka; Talieh,Homayoun; Basol,Bulent, Chip interconnect and packaging deposition methods and structures.
  71. Breda, Silvano, Circular shower strainer.
  72. Griffin, Ronald H.; Rung, Robert, Circular suction outlet assembly cover.
  73. Urabe, Yuji; Mieno, Yasumichi, Clamping device and workpiece conveying robot.
  74. Patrick Leahey ; Jerry C. Chen ; Richard E. Remington ; Simon Yavelberg ; Timothy Driscoll ; Robert E. Ryan ; Brian Hatcher ; Rolf Guenther ; Xueyu Qian, Closed-loop dome thermal control apparatus for a semiconductor wafer processing system.
  75. Armstrong Keith H. ; Kemp Kevin G. ; Liang Faqiu (Frank) ; Ramanan Natarajan, Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate.
  76. Li, Weimin; Sharan, Sujit; Sandhu, Gurtej, Combined gate cap or digit line and spacer deposition using HDP.
  77. Leap, Gerald, Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation.
  78. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartnetalized substrate processing chamber.
  79. Stine Clifford R. (Solon OH) Wojtecki Rudolph G. (Mantua OH), Composite tubing product.
  80. Kitayama,Hirofumi; Matsushima,Noriaki, Conductor treating single-wafer type treating device and method for semi-conductor treating.
  81. Swaminathan, Shankar; Sriram, Mandyam; van Schravendijk, Bart; Subramonium, Pramod; LaVoie, Adrien, Conformal doping via plasma activated atomic layer deposition and conformal film deposition.
  82. Motoda Takashi (c/o Mitsubishi Denki Kabushiki Kaisha Hikari Micro-ha Device Kenkyusho ; 1 Mizuhara 4-chome Itami-shi ; Hyogo 664 JPX) Karakida Shoichi (c/o Mitsubishi Denki Kabushiki Kaisha Hikari M, Container for liquid metal organic compound.
  83. Elers, Kai-Erik, Controlled composition using plasma-enhanced atomic layer deposition.
  84. Hiroaki Saeki JP; Keisuke Kondoh JP, Conveyor system.
  85. Shunji Yamada JP, Cooling disk unit for use in a wafer chucking device.
  86. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Copper barrier reflow process employing high speed optical annealing.
  87. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer.
  88. Tanaka So (Osaka JPX) Iiyama Michitomo (Osaka JPX), Crystal compensated superconducting thin film formed of oxide superconductor material.
  89. Bauer, Matthias; Thomas, Shawn G., Cyclical epitaxial deposition and etch.
  90. Prescott Norman F. (Four Fairview Ave. Wenham MA 01984), Delivery system for organometallic compounds.
  91. Wang, Luping; Baum, Thomas H.; Xu, Chongying, Delivery systems for efficient vaporization of precursor source material.
  92. Wang,Luping; Baum,Thomas H.; Xu,Chongying, Delivery systems for efficient vaporization of precursor source material.
  93. Law, Kam S.; Shang, Quanyuan; Harshbarger, William R.; Maydan, Dan; Choi, Soo Young; Park, Beom Soo; Yadav, Sanjay; White, John M., Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow.
  94. Craig R. Metzner ; Turgut Sahin ; Gregory F. Redinbo ; Pravin K. Narwankar ; Patricia M. Liu, Deposition reactor having vaporizing, mixing and cleaning capabilities.
  95. Yednak, III, Andrew M.; Dunn, Todd; White, Carl; Manasco, Michael, Deposition valve assembly and method of heating the same.
  96. Ogliari, Vincenzo; Pozzetti, Vittorio; Preti, Franco, Device and method for handling substrates by means of a self-leveling vacuum system in epitaxial induction.
  97. Numakura, Masahiro, Device for controlling processing system, method for controlling processing system and computer-readable storage medium stored processing program.
  98. Gussefeld Horst (Uttenreuth DE1) Christoph Heinz (Nuremberg DE1), Device for distributing flowing media over a flow cross section.
  99. Minami Yukio,JPX ; Ikeda Nobukazu,JPX ; Yamaji Michio,JPX ; Tanigawa Tsutomu,JPX, Device for heating fluid controller.
  100. Choi, Soo Young; White, John M., Diffuser plate with slit valve compensation.
  101. Balish Kenneth E. ; Nowak Thomas ; Tanaka Tsutomu ; Beals Mark, Dilute remote plasma clean.
  102. Kang, Young Boung, Disposer of connection member for kitchen sink bowl.
  103. Todd, Michael A., Dopant precursors and processes.
  104. Suzuki Hiromi (Tochigi-ken JPX), Downflow spin dryer.
  105. Okudaira Sadayuki (Ome JPX) Kawakami Hiroshi (Hachioji JPX) Kure Tokuo (Tokyo JPX) Tsujimoto Kazunori (Higashiyamato JPX) Tachi Shinichi (Sayama JPX), Dry etching by alternately etching and depositing.
  106. Kadomura Shingo (Kanagawa JPX), Dry etching method.
  107. Komino Mitsuaki,JPX ; Uchisawa Osamu,JPX, Drying processing method and apparatus using same.
  108. Majewski Robert ; Kao Yeh-Jen ; Wang Yen Kun, Dual channel gas distribution plate.
  109. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  110. Madocks,John E., Dual plasma beam sources and method.
  111. Oehlschlaeger Richard M. (Novelty OH) Greco John R. (Ravenna OH), Dual wall safety tube.
  112. Yasuda, Hozumi; Namiki, Keisuke; Fukushima, Makoto; Nabeya, Osamu; Saito, Koji; Yamaki, Satoru; Inoue, Tomoshi; Togashi, Shingo; Togawa, Tetsuji, Elastic membrane for semiconductor wafer polishing apparatus.
  113. Uchino,Takeo; Shichida,Hiroyuki; Isozaki,Masakazu; Tsubone,Tsunehiko; Makino,Akitaka, Electrode cover for a plasma processing apparatus.
  114. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  115. Hanlet Jacques M. (Loxahatchee FL), Electronic ballast system.
  116. Benson Saw MY; Chin Chuan Lim MY; Seong Jin Lim MY; Tee Hoh Quah MY, Electronic device.
  117. Yamashita Teppei (Ise JPX) Murata Masanao (Ise JPX) Tanaka Tsuyoshi (Ise JPX) Morita Teruya (Ise JPX) Kawano Hitoshi (Ise JPX) Hayashi Mitsuhiro (Ise JPX) Okuno Atsushi (Ise JPX) Nakamura Akio (Ise J, Electronic substrate processing system using portable closed containers and its equipments.
  118. Sugimoto, Hiroya, Electrostatic chuck.
  119. Tamagawa Koki,JPX ; Takahashi Kojiro,JPX ; Suzuki Takahiko,JPX ; Fukunishi Ryuichi,JPX, Electrostatic chuck and method of attracting wafer.
  120. Gardner Donald S. (Mountain View CA), Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias.
  121. Woodruff, Daniel J.; Erickson, James J., End-effectors and transfer devices for handling microelectronic workpieces.
  122. Frijlink Peter (Crosne FRX), Epitaxial reactor having a wall which is protected from deposits.
  123. Herchen Harald ; Merry Walter ; Brown William, Etch enhancement using an improved gas distribution plate.
  124. Chandrachood, Madhavi R.; Kumar, Ajay, Etching of nano-imprint templates using an etch reactor.
  125. Li, Yicheng, Exhaust apparatus configured to reduce particle contamination in a deposition system.
  126. Hattori, Tadashi, Exposure apparatus and method of manufacturing device.
  127. Hayashi, Yutaka, Exposure apparatus, exposure method, and device manufacturing method.
  128. Obikane, Tadashi, FOUP opening/closing device and probe apparatus.
  129. Miyasaka Mitsutoshi,JPX, Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device.
  130. Robinson ; Jr. George D. ; Perrotto Joseph A. ; Jeromin Lothar S. ; Davis James E., Fabrication of large area x-ray image capturing element.
  131. Basol,Bulent M.; Talieh,Homayoun, Fabrication of semiconductor interconnect structures.
  132. Grether, Hermann, Faucet stream former.
  133. Camillo-Castillo, Renata A.; Jain, Vibhor; Khater, Marwan H.; Sharma, Santosh, Field plate in heterojunction bipolar transistor with improved break-down voltage.
  134. Takagi, Toshio, Film deposition apparatus and film deposition method.
  135. Matsuse, Kimihiro, Film forming apparatus and film forming method.
  136. Koike Atsushi (Chiba JPX), Film forming apparatus capable of preventing adhesion of film deposits.
  137. Fastow,Richard M.; He,Yue Song; Wang,Zhigang, Flash memory with high-K dielectric material between substrate and gate.
  138. Manville Georgeann (Ojai CA) Yancey Bryan (Ojai CA), Floor drain strainer.
  139. Aid James D. (St. Petersburg FL) Cameron Norman F. (St. Petersburg FL) Hartranft Thomas P. (Safety Harbor FL), Flow measurement system.
  140. Ismailov, Murad M., Flow meter.
  141. Gauri, Vishal; Humayun, Raashina; Lang, Chi-I; Huang, Judy H.; Barnes, Michael; Shanker, Sunil, Flowable film dielectric gap fill process.
  142. Lei Lawrence ; Trihn Son ; Huston Joel M., Fluid delivery system and method.
  143. Salvador, Christopher J.; Heibenthal, Randall W.; Deedrich, Dennis M.; Harder, David B.; Hacker, John R.; Eisenmenger, Richard, Fluid filter system.
  144. Patejak Jerzy F. (Wampum PA), Flying saw with movable work shifter.
  145. Nakano, Ryu, Footing reduction using etch-selective layer.
  146. Farhad K. Moghadam ; David W. Cheung ; Ellie Yieh ; Li-Qun Xia ; Wai-Fan Yau ; Chi-I Lang ; Shin-Puu Jeng TW; Frederic Gaillard FR; Shankar Venkataraman ; Srinivas Nemani, Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound.
  147. Paton, Eric N.; Xiang, Qi; Yu, Bin, Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications.
  148. MacNeil,John; Ishaq,Sajid; Gris,Herv?; Giles,Katherine, Forming low k dielectric layers.
  149. Bhatnagar, Ashok; Wagner, Lori L.; Tan, Chok Bin C.; Arvidson, Brian; Murray, Jr., Harold Lindley; Hurst, David, Frag shield.
  150. Bencher, Christopher D.; Horioka, Keiji, Frequency doubling using spacer mask.
  151. White, Carl L.; Shero, Eric; Reed, Joe, Gap maintenance for opening to process chamber.
  152. Hermans, Ty Gerard, Garbage disposal cover with scraper.
  153. Bronson James, Garbage disposal strainer and splash guard.
  154. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  155. Su Yuh-Jia (Cupertino CA), Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing.
  156. Jeong Kyung Cheol,KRX, Gas distribution system and method for chemical vapor deposition apparatus.
  157. Vukovic, Mirko, Gas distribution system and method for distributing process gas in a processing system.
  158. Hawkins Mark R. (Mesa AZ) Robinson McDonald (Paradise Valley AZ), Gas injectors for reaction chambers in CVD systems.
  159. Ballance David S. ; Bierman Benjamin ; Tietz James V., Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween.
  160. Donohoe, Kevin G.; Becker, David S., Gas pulsing for etch profile control.
  161. Tsuda, Einosuke, Gas supply device.
  162. Yamagishi, Takayuki; Suwada, Masaei, Gas-line system for semiconductor-manufacturing apparatus.
  163. Brown, Jeffrey J.; Deshpande, Sadanand Vinayak; Horak, David V.; Surendra, Maheswaran; Tsou, Len Y.; Yang, Qingyun; Yu, Chienfan; Zhang, Ying, Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching.
  164. Waragai Kenji,JPX ; Ishigaki Tsuneo,JPX, Gate valve.
  165. Kouvetakis, John; Bauer, Matthew; Menendez, Jose; Hu, Chang Wu; Tsong, Ignatius S. T.; Tolle, John, GeSn alloys and ordered phases with direct tunable bandgaps grown directly on silicon.
  166. Kageyama, Junichi, Glass substrate-holding tool.
  167. Mitsumori, Takahiro; Kinoshita, Takeru; Ise, Hirotoshi, Glass substrate-holding tool and method for producing an EUV mask blank by employing the same.
  168. Masami Ikeda JP; Hiroshi Sugitani JP; Shigeyuki Matsumoto JP; Yasuhiro Naruse JP; Kenji Makino JP; Masaaki Izumida JP; Seiichi Tamura JP, HEAT GENERATING RESISTOR CONTAINING TAN0.8, SUBSTRATE PROVIDED WITH SAID HEAT GENERATING RESISTOR FOR LIQUID JET HEAD, LIQUID JET HEAD PROVIDED WITH SAID SUBSTRATE, AND LIQUID JET APPARATUS PROVIDED .
  169. Nakamura, Iwao; Nakamura, Naoto; Nakashima, Sadao, Heat treating apparatus.
  170. Shimazu Tomohisa,JPX, Heat treatment apparatus.
  171. Adachi,Naoshi; Yoshida,Kazushi; Aoki,Yoshiro, Heat treatment jig for semiconductor substrate.
  172. Vincent Kent D. (Cupertino CA), Heated transfer line for capillary tubing.
  173. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Heater block.
  174. Yamagishi, Takayuki; Tsuji, Naoto; Sato, Kazuo, Heater block.
  175. Daniel Zucker, Heater block cooling system for wafer processing apparatus.
  176. Yoo Jin-Seock,KRX, Heater block for heating wafer.
  177. Takahashi, Satoshi, Heater block for use in a semiconductor processing tool.
  178. Yednak, III, Andrew M.; Pettinger, Jr., Frederick L., Heater jacket for a fluid line.
  179. Kasai, Shigeru; Suzuki, Tomohiro, Heating apparatus, heat treatment apparatus, computer program and storage medium.
  180. Kawaji, Tatsuya; Sakai, Yuichi; Kaneda, Masatoshi, Heating apparatus, heating method, and computer readable storage medium.
  181. Wang,Ing Yann Albert; Chebi,Robert, High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation.
  182. Hernandez Adan F. (Scarborough NY) Bruning Gert W. (No. Tarrytown NY), High frequency inverter with power-line-controlled frequency modulation.
  183. Berry, III, Ivan Louis; Chung, Kyuha; Han, Qingyuan; Liu, Youfan; Moyer, Eric Scott; Spaulding, Michael John, High modulus, low dielectric constant coatings.
  184. Mallick, Abhijit Basu; Nemani, Srinivas D.; Yieh, Ellie, High quality silicon oxide films by remote plasma CVD from disilane precursors.
  185. Sajoto Talex ; Selyutin Leonid ; Zhao Jun ; Wolff Stefan, High temperature multi-layered alloy heater assembly and related methods.
  186. Gage, Chris; Genetti, Damon, High throughput method of in transit wafer position correction in system using multiple robots.
  187. Hey H. Peter W. (Phoenix AZ) Mazak William A. (Mesa AZ) Aggarwal Ravinder K. (Mesa AZ) Curtin John H. (Phoenix AZ), High throughput multi station processor for multiple single wafers.
  188. Wytman Joe, High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock.
  189. Contin Jose L. (Orinda CA), High vacuum gate valve having improved metal vacuum joint.
  190. Carollo, Enzo, High-density plasma process for depositing a layer of silicon nitride.
  191. Tetsuya Ishikawa ; Kaveh Niazi ; Tsutomu Tanaka ; Canfeng Lai ; Robert Duncan, High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers.
  192. Osada, Hideyuki, Holding pad for transferring a wafer.
  193. Kojima Noriatsu (31 ; Yanagishima-cho 5-chome Nakagawa-ku ; Nagoya ; Aichi JPX), Horn shaped multi-inlet pipe fitting.
  194. Deng, Xunming; Povolny, Henry S., Hot-filament chemical vapor deposition chamber and process with multiple gas inlets.
  195. Tan, Zhengquan; Li, Dongqing; Zygmunt, Walter; Ishikawa, Tetsuya, Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD.
  196. Ogawa Koji (Kasugai JPX) Suzuki Hiroshi (Tajimi JPX), Immersion-type temperature measuring apparatus using thermocouple.
  197. Reid, Kimberly G.; Dip, Anthony, In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition.
  198. Gupta Anand ; Parkhe Vijay, Increasing the sensitivity of an in-situ particle monitor.
  199. Christensen Robert W. (Monte Sereno CA), Induction heated pancake epitaxial reactor.
  200. Chang Mei (Cupertino CA) Wang David N. K. (Cupertino CA) White John M. (Hayward CA) Maydan Dan (Los Altos Hills CA), Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films.
  201. Hayes Donald J. ; Wallace David B. ; Frederickson Christopher J., Inline thermo-cycler.
  202. Waite, Andrew M.; Luning, Scott, Integrated circuit and method for its manufacture.
  203. Knoefler, Roman; Specht, Michael; Hofmann, Franz; Beug, Florian; Manger, Dirk; Riedel, Stephan, Integrated circuits having a contact region and methods for manufacturing the same.
  204. Mizuno Shigeru (Fuchu JPX) Katsumata Yoshihiro (Fuchu JPX) Takahashi Nobuyuki (Fuchu JPX), Integrated module multi-chamber CVD processing system and its method for processing substrates.
  205. Mori, Glen T.; Ow, Yueh Sheng, Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation.
  206. Karthik Janakiraman ; Kelly Fong ; Chen-An Chen ; Paul Le ; Rong Pan ; Shankar Venkataraman, Integration of remote plasma generator with semiconductor processing chamber.
  207. Ebrahim Andideh, Interlayer dielectric with a composite dielectric stack.
  208. Sadaka, Mariam G.; Eades, Debby; Mogab, Joe; Nguyen, Bich Yen; Zavala, Melissa O.; Spencer, Gregory S., Inverse slope isolation and dual surface orientation integration.
  209. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  210. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  211. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  212. Cowans,Kenneth W., Lateral temperature equalizing system for large area surfaces during processing.
  213. Cowans,Kenneth W., Lateral temperature equalizing system for large area surfaces during processing.
  214. Yamazaki,Shunpei, Layer member forming method.
  215. Saxon, Thomas Jason; Saxon, Holly Holleman, Light emitting diode system.
  216. Furukawahara, Kazunori; Fukuda, Hideaki, Liquid material vaporization apparatus for semiconductor processing apparatus.
  217. Craig A. Sowada ; Richard R. Ruegemer, Lock device and lock method for knife gate valves.
  218. Friemoth Dale J. (Loveland OH) Hacker Steven A. (Erlanger KY) Loeb Jeff M. (Cincinnati OH), Lockout modules.
  219. Shugrue, John; Moen, Ron, Lockout tagout for semiconductor vacuum valve.
  220. Wengert John F. ; Raaijmakers Ivo ; Halpin Mike ; Jacobs Loren ; Meyer Michael J. ; van Bilsen Frank ; Goodman Matt ; Barrett Eric ; Wood Eric ; Samuels Blake, Long life high temperature process chamber.
  221. Anderson,Brent A.; Nowak,Edward J., Low capacitance junction-isolation for bulk FinFET technology.
  222. Hanawa,Hiroji; Ramaswamy,Kartik; Collins,Kenneth S.; Al Bayati,Amir; Gallo,Biagio; Nguyen,Andrew, Low temperature CVD process with selected stress of the CVD layer on CMOS devices.
  223. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Low temperature plasma deposition process for carbon layer deposition.
  224. Fonash, Stephen J.; Lin, Xin; Reber, Douglas M., Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications.
  225. Mungekar, Hemant P.; Wu, Jing; Lee, Young S.; Wang, Anchuan, Low wet etch rate silicon nitride film.
  226. Vitale,Steven Arthur, Manufacturing a semiconductive device using a controlled atomic layer removal process.
  227. James Michael Whalen, Marine deck drain strainer.
  228. Abatchev, Mirzafer K.; Sandhu, Gurtej, Mask material conversion.
  229. Smargiassi, Eugene, Measuring in-situ UV intensity in UV cure tool.
  230. Lukas,Aaron Scott; O'Neill,Mark Leonard; Vincent,Jean Louise; Vrtis,Raymond Nicholas; Bitner,Mark Daniel; Karwacki, Jr.,Eugene Joseph, Mechanical enhancement of dense and porous organosilicate materials by UV exposure.
  231. Hofmann Franz,DEX ; Willer Josef,DEX ; Krautschneider Wolfgang,DEX, Memory cell arrangement with vertical MOS transistors and the production process thereof.
  232. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  233. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  234. Wurzburger ; deceased Paul D. (late of Cleveland Heights OH) Reed ; executor by Peter (Cleveland OH), Metering valve.
  235. Hammel Bent (Eiksmarka NOX), Method and a plant for transport of hydrocarbons over a long distance from an offshore source of hydrocarbons.
  236. Uzoh, Cyprian Emeka, Method and apparatus for 3D interconnect.
  237. Cho,Seon Mee; Srinivasan,Easwar; Lu,Brian G.; Mordo,David, Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties.
  238. Engle George M. (Scottsdale AZ), Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions.
  239. Barr Thomas Aloysius ; Barr Christopher Velton ; Elliott James Charles ; Frew Dirk Alan, Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components.
  240. Kramer Martin S. (Romeo MI) Byrnes Larry E. (Rochester Hills MI) Holmes Gary L. (Grand Bland MI), Method and apparatus for application of thermal spray coatings to engine blocks.
  241. Jevtic Dusan, Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool.
  242. Boitnott Charles A. (Half Moon Bay CA) Toole Monte M. (San Carlos CA), Method and apparatus for batch processing a semiconductor wafer.
  243. van den Berg, Jannes Remco; den Hartog, Edwin, Method and apparatus for batch processing of wafers in a furnace.
  244. Benjamin, Neil; Steger, Robert, Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support.
  245. Ryu Choon Kun ; Huang Judy H. ; Cheung David, Method and apparatus for depositing a planarized passivation layer.
  246. Reed, Joseph C; Shero, Eric J, Method and apparatus for minimizing contamination in semiconductor processing chamber.
  247. Bok Hoen Kim ; Mario Dave Silvetti ; Ameeta Madhava ; Davood Khalili ; Martin Seamons ; Emanuele Cappello ; Nam Le ; Lloyd Berken, Method and apparatus for reducing particle contamination on wafer backside during CVD process.
  248. Venkatesh Srilakshmi ; Jevtic Dusan, Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot.
  249. Suzuki Keizo (Arlington MA) Ninomiya Ken (Nakano JPX) Nishimatsu Shigeru (Kokubunji JPX) Okudaira Sadayuki (Ohme JPX) Okada Osami (Chofu JPX), Method and apparatus for surface treatment by plasma.
  250. Gregg, John N.; Battle, Scott L.; Banton, Jeffrey I.; Naito, Donn K.; Laxman, Ravi K., Method and apparatus to help promote contact of gas with vaporized material.
  251. Basol,Bulent M.; Talieh,Homayoun, Method and structure to improve reliability of copper interconnects.
  252. Mallick, Abhijit Basu; Munro, Jeffrey C.; Wang, Linlin; Nemani, Srinivas D.; Zheng, Yi; Yuan, Zheng; Lubomirsky, Dimitry; Yieh, Ellie Y., Method and system for improving dielectric film quality for void free gap fill.
  253. Okrah, Angel Y., Method and system for using a buffer to track robotic movement.
  254. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  255. Winkler, Jereld Lee, Method and systems for in-situ formation of intermediate reactive species.
  256. Fischer Heinrich (Furstentum LIX), Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method.
  257. Okabe, Tatsuhiro; Fukazawa, Atsuki, Method for cleaning reaction chamber using pre-cleaning process.
  258. Nakano, Ryu; Inoue, Naoki, Method for controlling in-plane uniformity of substrate processed by plasma-assisted process.
  259. Tuominen, Marko; Shero, Eric; Verghese, Mohith, Method for controlling the sublimation of reactants.
  260. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method for depositing a nanolaminate film by atomic layer deposition.
  261. Fairbairn, Kevin; Rice, Michael; Weidman, Timothy; Ngai, Christopher S; Latchford, Ian Scot; Bencher, Christopher Dennis; Wang, Yuxiang May, Method for depositing an amorphous carbon layer.
  262. Fukazawa, Atsuki; Tazawa, Hisashi; Ha, Jeongseok; Ueda, Shintaro, Method for depositing flowable material using alkoxysilane or aminosilane precursor.
  263. Ji,Bing; Motika,Stephen Andrew; Pearlstein,Ronald Martin; Karwacki, Jr.,Eugene Joseph; Wu,Dingjun, Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials.
  264. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  265. Gates,Stephen M.; Grill,Alfred; Medeiros,David R.; Neumayer,Deborah; Nguyen,Son Van; Patel,Vishnubhai V.; Wang,Xinhui, Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made.
  266. Miyajima, Hideshi, Method for fabricating semiconductor device.
  267. Iyer,R. Suryanarayanan; Tandon,Sanjeev, Method for fabricating silicon nitride spacer structures.
  268. Nakano, Akinori; Ueda, Shintaro, Method for filling recesses using pre-treatment with hydrocarbon-containing gas.
  269. Tsuji, Naoto; Fukazawa, Atsuki; Takamure, Noboru; Haukka, Suvi; Niskanen, Antti Juhani; Park, Hyung Sang, Method for forming Si-containing film using two precursors by ALD.
  270. Ishikawa, Dai; Matsushita, Kiyohiro; Nakano, Akinori; Ueda, Shintaro; Arai, Hirofumi, Method for forming SiOCH film using organoaminosilane annealing.
  271. Takamure, Noboru; Okabe, Tatsuhiro, Method for forming Ti-containing film by PEALD using TDMAT or TDEAT.
  272. Vidya S. Kaushik, Method for forming a high dielectric constant material.
  273. Kim, Young-Seok; Hyung, Yong-Woo; Kang, Man-Sung; Ahn, Jae-Young, Method for forming a thin film using an atomic layer deposition (ALD) process.
  274. Tsukamoto Katsuhiro (Hyogo JPX) Tokui Akira (Hyogo JPX), Method for forming a thin layer on a semiconductor substrate and apparatus therefor.
  275. Jeon,Joong S.; Clark Phelps,Robert B.; Xiang,Qi; Zhong,Huicai, Method for forming a thin, high quality buffer layer in a field effect transistor and related structure.
  276. Fukazawa, Atsuki; Fukuda, Hideaki, Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group.
  277. Winkler, Jereld Lee, Method for forming conformal carbon films, structures conformal carbon film, and system of forming same.
  278. Beynet, Julien; Raaijmakers, Ivo; Fukazawa, Atsuki, Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment.
  279. Fukazawa, Atsuki; Fukuda, Hideaki; Takamure, Noboru; Zaitsu, Masaru, Method for forming dielectric film in trenches by PEALD using H-containing gas.
  280. Fukazawa, Atsuki; Lee, Woo Jin; Matsuki, Nobuo, Method for forming dielectric film using siloxane-silazane mixture.
  281. Fukazawa, Atsuki; Fukuka, Hideaki, Method for forming insulation film using non-halide precursor having four or more silicons.
  282. Hsieh, Julian J.; Kobayashi, Nobuyoshi; Shimizu, Akira; Matsushita, Kiyohiro; Fukazawa, Atsuki, Method for forming interconnect structure having airgap.
  283. Tsuji, Naoto; Shoji, Fumitaka, Method for forming layer constituted by repeated stacked layers.
  284. Chang Auck Choi KR; Chi Hoon Jun KR; Won Ick Jang KR; Yun Tae Kim KR, Method for forming micro cavity.
  285. Lim, Jung-wook; Yun, Sun-jin, Method for forming nitrogen-containing oxide thin film using plasma enhanced atomic layer deposition.
  286. Fukazawa, Atsuki; Oka, Takahiro, Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control.
  287. Oka, Takahiro; Shimizu, Akira, Method for forming single-phase multi-element film by PEALD.
  288. Clark, Robert D., Method for forming strained silicon nitride films and a device containing such films.
  289. Kao Chien-Teh ; Tsai Kenneth ; Pham Quyen ; Rose Ronald L. ; Augason Calvin R. ; Yudovsky Joseph, Method for improved remote microwave plasma source for use with substrate processing system.
  290. Hausmann, Dennis; Sims, James S.; Antonelli, Andrew; Varadarajan, Sesha; Schravendijk, Bart Van, Method for improving process control and film conformality of PECVD film.
  291. Conti, Richard A.; Edelstein, Daniel C.; Lee, Gill Yong, Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications.
  292. Conti,Richard A.; Edelstein,Daniel C.; Lee,Gill Yong, Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications.
  293. Matsushita,Kiyohiro; Kagami,Kenichi, Method for managing UV irradiation for curing semiconductor substrate.
  294. Gros-Jean, Mickael, Method for manufacturing a polycrystalline dielectric layer.
  295. Shiba, Eiichiro, Method for performing uniform processing in gas system-sharing multiple reaction chambers.
  296. Kouvetakis,John; Bauer,Matthew; Tolle,John; Cook,Candi, Method for preparing GeSnE(E=P, As, Sb) semiconductors and related Si-Ge-Sn-E and Si-Ge-E analogs.
  297. Kuo-Liang Lu TW; Yung-Chih Yao TW, Method for preventing contamination in a plasma process chamber.
  298. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  299. Huyghebaert, Cedric; Vaes, Jan; Van Olmen, Jan, Method for producing interconnect structures for integrated circuits.
  300. {hacek over (S)}kutchanová, Zuzana, Method for providing a grinding surface on glass implements used in personal care.
  301. Nakano, Akinori, Method for reducing dielectric constant of film using direct plasma of hydrogen.
  302. Frohberg, Kai; Grimm, Volker; Mueller, Sven; Lehr, Matthias; Richter, Ralf; Klais, Jochen; Mazur, Martin; Salz, Heike; Hohage, Joerg; Schaller, Matthias, Method for reducing resist poisoning during patterning of silicon nitride layers in a semiconductor device.
  303. Kobayashi, Akiko; Kimura, Yosuke; Ishikawa, Dai; Matsushita, Kiyohiro, Method for repairing damage of dielectric film by cyclic processes.
  304. Kimura, Yosuke, Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation.
  305. Matsushita, Kiyohiro; Arai, Hirofumi, Method for restoring porous surface of dielectric layer by UV light-assisted ALD.
  306. Nakano, Ryu; Makino, Tsutomu; Takamizawa, Hisashi, Method for stabilizing plasma ignition.
  307. Ishikawa, Dai; Matsushita, Kiyohiro, Method for supplying gas with flow rate gradient over substrate.
  308. Spitsberg Irene T. ; Wang Hongyu ; Heidorn Raymond W., Method for thermally spraying crack-free mullite coatings on ceramic-based substrates.
  309. Nakano, Akinori; Ueda, Shintaro; Ishikawa, Dai; Matsushita, Kiyohiro, Method for treating SiOCH film with hydrogen plasma.
  310. Schaeffer,James K.; Roan,Darrell; Triyoso,Dina H.; Adetutu,Olubunmi O., Method for treating a semiconductor surface to form a metal-containing layer.
  311. Haukka, Suvi; Shero, Eric James; Alokozai, Fred; Li, Dong; Winkler, Jereld Lee; Chen, Xichong, Method for treatment of deposition reactor.
  312. Strang, Eric J., Method of and apparatus for tunable gas injection in a plasma processing system.
  313. Arai,Hirofumi; Fukuda,Hideaki, Method of cleaning CVD equipment processing chamber.
  314. Matsushita, Kiyohiro; Fukuda, Hideaki; Kagami, Kenichi, Method of cleaning UV irradiation chamber.
  315. Yun-sook Chae KR; In-sang Jeon KR; Sang-bom Kang KR; Sang-in Lee KR; Kyu-wan Ryu KR, Method of delivering gas into reaction chamber and shower head used to deliver gas.
  316. Haukka, Suvi; Huotari, Hannu, Method of depositing barrier layer for metal gates.
  317. Fukazawa, Atsuki, Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen.
  318. Fukazawa, Atsuki; Takamure, Noboru, Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen.
  319. Lee, Woo Jin; Hong, Kuo-Wei; Shimizu, Akira; Jeong, Daekyun, Method of depositing dielectric film by modified PEALD method.
  320. Lee, Woo Jin; Hong, Kuo-Wei; Shimizu, Akira; Jeong, Deakyun, Method of depositing dielectric film having Si-N bonds by modified peald method.
  321. Ueda, Shintaro, Method of depositing film by atomic layer deposition with pulse-time-modulated plasma.
  322. Ha, Jeongseok, Method of depositing film with tailored comformality.
  323. Oka, Takahiro; Shimizu, Akira, Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature.
  324. Pasch Nicholas F. (Pacifica CA) Choudhury Ratan (Milpitas CA), Method of doping metal layers for electromigration resistance.
  325. Tamura Muneo,JPX ; Yamauchi Takeshi,JPX ; Niwa Katuhide,JPX ; Fukazawa Takeshi,JPX ; Kuroyanagi Akira,JPX, Method of fabricating a semiconductor device having a silicon nitride film made of silane, ammonia and nitrogen.
  326. Jeong, Ji-Hyun; Oh, Jae-Hee; Park, Jae-Hyun, Method of fabricating nonvolatile memory device.
  327. Beulens,Jacobus Johannes; Wan,Yuet Mei, Method of fabricating silicon nitride nanodots.
  328. Goundar, Kamal Kishore, Method of forming a high transparent carbon film.
  329. Sadoway Donald R. (Belmont MA) Singh Rana P. (White Fish Bay WI), Method of forming a metal coating on a substrate.
  330. Ma, Yanjun; Ono, Yoshi, Method of forming a multilayer dielectric stack.
  331. Lim, Jung Wook; Yun, Sun Jin; Lee, Jin Ho, Method of forming a thin film in a semiconductor device.
  332. Lee, Woo Jin; Shimizu, Akira, Method of forming conformal dielectric film having Si-N bonds by PECVD.
  333. Lee, Woo Jin; Shimizu, Akira, Method of forming conformal dielectric film having Si-N bonds by PECVD.
  334. Lee, Woo-Jin; Shimizu, Akira; Fukazawa, Atsuki, Method of forming conformal dielectric film having Si-N bonds by PECVD.
  335. Hong, Kuo-wei; Shimizu, Akira; Namba, Kunitoshi; Lee, Woo-Jin, Method of forming conformal film having si-N bonds on high-aspect ratio pattern.
  336. Dussarrat, Christian; Blasco, Nicolas; Pinchart, Audrey; Lachaud, Christophe, Method of forming dielectric films, new precursors and their use in semiconductor manufacturing.
  337. Fukuda Hideaki,JPX ; Arai Hiroki,JPX ; Yoshizaki Yu,JPX, Method of forming film on semiconductor substrate in film-forming apparatus.
  338. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Method of forming graded thin films using alternating pulses of vapor phase reactants.
  339. Lee, Woo-Jin; Fukazawa, Atsuki, Method of forming highly conformal amorphous carbon layer.
  340. Ryu,Choon Kun; Kim,Tae Kyung, Method of forming insulating layer in semiconductor device.
  341. Kobayashi, Akiko; Shimizu, Akira; Hong, Kuo-wei; Kobayashi, Nobuyoshi; Fukazawa, Atsuki, Method of forming insulation film using plasma treatment cycles.
  342. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  343. Fukuda, Hideaki, Method of forming metal oxide hardmask.
  344. Ha, Jeongseok; Fukuda, Hideaki; Kaido, Shintaro, Method of forming metal oxide hardmask.
  345. Wei, An Chyi, Method of forming self-aligned contacts and local interconnects.
  346. Beynet, Julien; Park, Hyung Sang; Inoue, Naoki, Method of forming semiconductor patterns.
  347. Kwan, Kim Yeong; Wook, Park Young; Won, Lee Joo; Chan, Kim Dong, Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3.
  348. Yeong-Kwan Kim KR; Young-Wook Park KR; Seung-Hwan Lee KR, Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane.
  349. Lee, Woo-Jin; Hong, Kuo-Wei; Shimuzu, Akira, Method of forming stress-tuned dielectric film having Si-N bonds by modified PEALD.
  350. Aoyama Masaharu (Fujisawa JPX) Abe Masahiro (Yokohama JPX), Method of forming trench buried wiring for semiconductor device.
  351. Tois, Eva; Haukka, Suvi; Tuominen, Marko, Method of growing oxide thin films.
  352. Barnes Michael S. (San Francisco CA) Yasuda Arthur Kenichi (Belmont CA), Method of in situ cleaning a vacuum plasma processing chamber.
  353. Dhindsa, Rajinder, Method of joining components for a composite showerhead electrode assembly for a plasma processing apparatus.
  354. Agarwala, Birendra N.; Dalal, Hormazdyar Minocher; Liniger, Eric G.; Llera-Hurlburt, Diana; Nguyen, Du Binh; Procter, Richard W.; Rathore, Hazara Singh; Tian, Chunyan E.; Engel, Brett H., Method of making an edge seal for a semiconductor device.
  355. Sandow Peter M. (Sunnyvale CA) Chin Barry L. (San Diego CA), Method of making low resistance polysilicon gate transistors and low resistance interconnections therefor via gas deposi.
  356. Wu,Chii Ming; Tsai,Ming Hsing; Hsieh,Ching Hua; Shue,Shau Lin, Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology.
  357. Sawada Shigeki (Souraku JPX), Method of manufacturing a semiconductor device.
  358. Liang,Chieh Shuo; Tzeng,Pei Jer; Lee,Heng Yuan; Lee,Lurng Shehng, Method of manufacturing charge storage device.
  359. Min,Yo sep; Bae,Eun ju; Choi,Won bong; Cho,Young jin; Lee,Jung hyun, Method of manufacturing inorganic nanotube.
  360. Kim, Sang Deok, Method of manufacturing semiconductor devices.
  361. Adachi, Wataru; Ha, Jeongsok, Method of parallel shift operation of multiple reactors.
  362. Terasaki Masato,JPX ; Tsutsuguchi Kazunori,JPX, Method of plasma processing a substrate placed on a substrate table.
  363. Fukazawa, Atsuki, Method of plasma-enhanced atomic layer etching.
  364. Tipton,Adrianne K.; Lu,Brian G.; Van Cleemput,Patrick A.; Schulberg,Michelle T.; Wu,Qingguo; Fu,Haiying; Wang,Feng, Method of porogen removal from porous low-k films using UV radiation.
  365. Higuchi Hiroshi,JPX ; Hanafusa Akira,JPX ; Omura Kuniyoshi,JPX ; Murozono Mikio,JPX ; Oyama Hideaki,JPX, Method of preparing a semiconductor layer for an optical transforming device.
  366. Haukka, Suvi; Huotari, Hannu, Method of producing thin films.
  367. Price J. B. (Scottsdale AZ) Tobin Philip J. (Scottsdale AZ) Pintchovski Fabio (Mesa AZ) Seelbach Christian A. (San Jose CA), Method of producing titanium nitride MOS device gate electrode.
  368. Chang, Ting-Chang; Liu, Po-Tsun; Mor, Yi-Shien, Method of repairing a low dielectric constant material layer.
  369. Jerbic Chris (Fremont CA), Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation.
  370. Onizawa, Shigeyuki; Lee, Woo-Jin; Fukuda, Hideaki; Namba, Kunitoshi, Method of tailoring conformality of Si-containing film.
  371. Chan,Bor Wen; Huang,Yi Chun; Perng,Baw Ching; Tao,Hun Jan, Method of trimming technology.
  372. Conley, Jr., John F.; Ono, Yoshi, Method to control the interfacial layer for deposition of high dielectric constant films.
  373. Todd,Michael A.; Weeks,Keith D.; Werkhoven,Christiaan J.; Pomarede,Christophe F., Method to form ultra high quality silicon-containing compound layers.
  374. Xu, Huiwen; Shek, Mei-Yee; Xia, Li-Qun; Al-Bayati, Amir; Witty, Derek; M'Saad, Hichem, Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics.
  375. Vollkommer Frank (Gauting DEX) Hitzschke Lothar (Munich DEX), Method to operate an incoherently emitting radiation source having at least one dielectrically impeded electrode.
  376. Zhang,Zhihong; Nguyen,Tai Dung; Nguyen,Tue, Method to plasma deposit on organic polymer dielectric film.
  377. Chih-Hsing Yu TW; Yu-Shen Chen TW, Method to reduce bit line capacitance in cub drams.
  378. Singh, Harmeet, Methods and apparatus for atomic layer etching.
  379. Li-Qun Xia ; Visweswaren Sivaramakrishnan ; Srinivas Nemani ; Ellie Yieh ; Gary Fong, Methods and apparatus for gettering fluorine from chamber material surfaces.
  380. McMillan Larry D. (Colorado Springs CO) Paz de Araujo Carlos A. (Colorado Springs CO), Methods and apparatus for material deposition.
  381. Lee Changhun ; Singh Vikram ; Yang Yun-Yen Jack, Methods and apparatus for passivating a substrate in a plasma reactor.
  382. Mills, Robert L., Methods and systems for dimmable fluorescent lighting using multiple frequencies.
  383. Chang, Mei; Yudovsky, Joseph, Methods for atomic layer etching.
  384. Liang, Jingmei, Methods for forming a dielectric layer within trenches.
  385. Guffrey,Erik; Allison,Gerrad S., Methods for forming nanofiber adhesive structures.
  386. Cruse, James P.; Cantwell, Dermot; Xu, Ming; Hardy, Charles; Schwarz, Benjamin; Collins, Kenneth S.; Nguyen, Andrew; Sui, Zhifeng; Lee, Evans, Methods for processing substrates in process systems having shared resources.
  387. Vrtis, Raymond Nicholas; O'Neill, Mark Leonard; Vincent, Jean Louise; Lukas, Aaron Scott; Xiao, Manchao; Norman, John Anthony Thomas, Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants.
  388. Park,In Sung; Chung,Jeong Hee; Yeo,Jae Hyun, Methods of forming atomic layers of a material on a substrate by sequentially introducing precursors of the material.
  389. Tolle, John, Methods of forming films including germanium tin and structures and devices including the films.
  390. Margetis, Joe; Tolle, John, Methods of forming highly p-type doped germanium tin films and structures and devices including the films.
  391. Seung-hwan Lee KR; Yeong-kwan Kim KR; Dong-chan Kim KR; Young-wook Park KR, Methods of forming thin films by atomic layer deposition.
  392. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  393. Bright Nick ; Mooring Ben, Modular architecture for semiconductor wafer fabrication equipment.
  394. Rubin Richard H. (Fairfield NJ) Petrone Benjamin J. (Netcong NJ) Heim Richard C. (Mountain View CA) Pawenski Scott M. (Wappingers Falls NY), Modular processing apparatus for processing semiconductor wafers.
  395. Schumaier Daniel R., Moisture sensitive item drying appliance.
  396. Stephens William D. (Clearwater FL), Mold release composition with polybutadiene and method of coating a mold core.
  397. Weigensberg, Aaron Arye, Mouthpiece plug for electronic cigarette.
  398. Goldsmith Forest S. (Newton MA) Waugh Arthur (Winchester MA), Movable core fast cool-down furnace.
  399. Johnson, Wayne L.; Strang, Eric J., Multi-zone resistance heater.
  400. Li Weimin ; Sandhu Gurtej S., Multiple step methods for forming conformal layers.
  401. Maishev Yuri,RUX ; Ritter James ; Velikov Leonid ; Shkolnik Alexander, Multiple-cell source of uniform plasma.
  402. Shinmen, Hiroshi; Weger, Robert, Multiple-light discharge lamp lighting device.
  403. Conley, Jr.,John F.; Ono,Yoshi; Solanki,Rajendra, Nanolaminate film atomic layer deposition method.
  404. Nguyen, Tue; Nguyen, Tai Dung, Nanolayer thick film processing system and method.
  405. Clark, Robert D., Nitrogen profile engineering in nitrided high dielectric constant films.
  406. Zhi-Fan Zhang ; David Pung ; Nitin Khurana ; Hong Zhang ; Roderick Craig Mosely, Nitrogen treatment of a metal nitride/metal stack.
  407. Stark Mark M. (Kamakura CA JPX) Warenback Douglas H. (San Rafael CA) Drage David J. (Sebastopol CA), Non-uniform gas inlet for dry etching apparatus.
  408. Sakuma, Kiwamu; Kinoshita, Atsuhiro; Kiyotoshi, Masahiro; Hagishima, Daisuke; Muraoka, Koichi, Nonvolatile semiconductor memory.
  409. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  410. Vincent, Jean Louise; O'Neill, Mark Leonard; Withers, Jr., Howard Paul; Beck, Scott Edward; Vrtis, Raymond Nicholas, Organosilicon precursors for interlayer dielectric films with low dielectric constants.
  411. Wallgren Linus E. (Rockville MD), Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards.
  412. Templeton, Michael K., Parallel plate development with multiple holes in top plate for control of developer flow and pressure.
  413. Guo Xin Sheng ; Koai Keith ; Chen Ling ; Bhan Mohan K. ; Zheng Bo, Pattern of apertures in a showerhead for chemical vapor deposition.
  414. Fodor Mark A. (Los Gatos CA) Bercaw Craig A. (Sunnyvale CA) Dornfest Charles (Fremont CA), Patterned susceptor to reduce electrostatic force in a CVD chamber.
  415. Scully ; Jr. Daniel F., Pelletization of metal soap powders.
  416. Sneh, Ofer, Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems.
  417. Milligan, Robert B., Periodic plasma annealing in an ALD-type process.
  418. Toll, Carolyn Grace, Pet outfit.
  419. Milligan, Robert Brennan; Alokozai, Fred, Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same.
  420. Yamazaki Shunpei (Tokyo JPX) Hayashi Shigenori (Atsugi JPX) Inujima Takashi (Atsugi JPX) Hirose Naoki (Atsugi JPX), Photo CVD apparatus with a glow discharge system.
  421. Iida Yoshinori (Tokyo JPX) Furukawa Akihiko (Tokyo JPX) Yamaguchi Tetsuya (Yokohama JPX) Sasaki Michio (Yokohama JPX) Ihara Hisanori (Yokohama JPX) Nozaki Hidetoshi (Yokohama JPX) Kamimura Takaaki (K, Photo-assisted CVD apparatus.
  422. Yamazaki Shunpei (Tokyo JPX) Imatoh Shinji (Kanagawa JPX) Hayashi Shigenori (Kanagawa JPX), Photochemical vapor phase reaction apparatus and method of causing a photochemical vapor phase reaction.
  423. Romano, Andrew R.; Sadjadi, S. M. Reza, Photoresist double patterning.
  424. Kluss, Bill; Morwood, Greg, Pipe end cap.
  425. Elkins,Alan R.; Elkins,Douglas P., Pipe flashing.
  426. Fang, Zhiyuan; Subramonium, Pramod; Henri, Jon; Fox, Keith, Plasma clean method for deposition chamber.
  427. Misra Ashutosh, Plasma cleaning and etching methods using non-global-warming compounds.
  428. Chen Ching-Hwa (Milpitas CA) Arnett David (Fremont CA) Liu David (San Jose CA), Plasma cleaning method for removing residues in a plasma treatment chamber.
  429. Han, Qingyuan; Waldfried, Carlo; Escorcia, Orlando; Albano, Ralph; Berry, III, Ivan L.; Jang, Jeff; Ball, Ian, Plasma curing of MSQ-based porous low-k film materials.
  430. Albano, Ralph; Bargeron, Cory; Berry, III, Ivan L.; Bremmer, Jeff; Dembowski, Phil; Escorcia, Orlando; Han, Qingyuan; Sbrockey, Nick; Waldfried, Carlo, Plasma curing process for porous low-k materials.
  431. Berry, III, Ivan L.; Bridgewater, Todd; Chen, Wei; Han, Qingyuan; Moyer, Eric S.; Spaulding, Michael J.; Waldfried, Carlo, Plasma curing process for porous silica thin film.
  432. Nguyen, Tue, Plasma enhanced pulsed layer deposition.
  433. Lee, Jeong Ho; Jeong, Sang Jin; Kim, Woo Chan, Plasma inducing plate for semiconductor deposition apparatus.
  434. Espiau, Frederick M.; McGettigan, Anthony D.; Chang, Yian; Espiau, legal representative, Frederick M.; DeVincentis, Marc, Plasma lamp and methods using a waveguide body and protruding bulb.
  435. Kumar,Devendra; Goundar,Kamal Kishore; Kemeling,Nathanael R. C.; Fukuda,Hideaki; Sprey,Hessel; Stokhof,Maarten, Plasma pre-treating surfaces for atomic layer deposition.
  436. Onodera, Naomi; Gokon, Kiyohiko; Sato, Jun, Plasma process apparatus and plasma process method.
  437. Masaki Hirayama JP; Tadahiro Ohmi JP; Tatsushi Yamamoto JP; Takamitsu Tadera JP, Plasma process device.
  438. Cheung David ; Yau Wai-Fan ; Mandal Robert P. ; Jeng Shin-Puu ; Liu Kuo-Wei ; Lu Yung-Cheng ; Barnes Michael ; Willecke Ralf B. ; Moghadam Farhad ; Ishikawa Tetsuya ; Poon Tze Wing, Plasma processes for depositing low dielectric constant films.
  439. Koshimizu Chishio,JPX, Plasma processing apparatus.
  440. Oyabu, Jun, Plasma processing apparatus.
  441. Kohno, Masayuki; Sasaki, Masaru, Plasma processing method and film forming method.
  442. Bera, Kallol; Zhao, Xiaoye; Doan, Kenny L.; Gold, Ezra Robert; Brillhart, Paul Lukas; Geoffrion, Bruno; Pu, Bryan; Hoffman, Daniel J., Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone.
  443. Shan Hongching (San Jose CA), Plasma reactor with programmable reactant gas distribution.
  444. Kumihashi Takao (Kokubunji JPX) Tsujimoto Kazunori (Higashi-yamato JPX) Tachi Shinichi (Sayama JPX) Kanetomo Masafumi (Tokyo JPX) Kobayashi Junichi (Ushiku JPX) Usui Tatehito (Ibaraki JPX) Mise Nobuy, Plasma treatment method and apparatus.
  445. Goodner, Michael D., Polymer sacrificial light absorbing structure and method.
  446. Cho Chi-Chen (Richardson TX) Gnade Bruce E. (Dallas TX) Smith Douglas M. (Albuquerque NM), Porous dielectric material with improved pore surface properties for electronics applications.
  447. Cho Chih-Chen ; Gnade Bruce E. ; Smith Douglas M. ; Changming Jin ; Ackerman William C. ; Johnston Gregory C., Porous dielectric material with improved pore surface properties for electronics applications.
  448. Tachikawa, Yuichi; Yasui, Kazumichi; Nakaso, Kiyoshi; Hattori, Kiyoshi; Okuya, Tsugiyuki; Mita, Makoto, Position measurement apparatus and method and pattern forming apparatus and writing method.
  449. Takizawa, Masahiro; Suwada, Masaei, Position sensor system for substrate transfer robot.
  450. Xia, Li-Qun; Gaillard, Frederic; Yieh, Ellie; Lim, Tian H., Post-deposition treatment to enhance properties of Si-O-C low K films.
  451. Xia,Li Qun; Gaillard,Frederic; Yieh,Ellie; Lim,Tian H., Post-deposition treatment to enhance properties of Si-O-C low k films.
  452. Bagley, William A.; Ramirez, Ericka M.; Wolgast, Stephen C., Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications.
  453. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E.; White, Carl L., Precursor delivery system.
  454. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E; White, Carl L, Precursor delivery system.
  455. Xiao,Manchao; Hochberg,Arthur Kenneth; Cuthill,Kirk Scott, Precursors for depositing silicon containing films and processes thereof.
  456. Lei, Xinjian; Norman, John Anthony Thomas, Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films.
  457. Mahajani,Maitreyee, Pretreatment processes within a batch ALD reactor.
  458. Kurs, Andre B.; McCauley, Alexander Patrick; Li, Qiang; Campanella, Andrew J., Printed resonator coil.
  459. Gilchrist, Ulysses; Olivera, Haniel; Fosnight, William; Pickreign, Richard; Caveney, Robert, Process apparatus with on-the-fly workpiece centering.
  460. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  461. Wang Tihu ; Ciszek Theodore F., Process for Polycrystalline film silicon growth.
  462. McNallan, Michael J.; Ersoy, Daniel; Gogotsi, Yury, Process for converting a metal carbide to carbon by etching in halogens.
  463. Georges Zagdoun FR, Process for depositing a tungsten-based and/or molybdenum-based layer on a rigid substrate, and substrate thus coated.
  464. Lu Jiong-Ping, Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density.
  465. Gaillard, Frederic; Xia, Li-Qun; Shu, Jen; Yieh, Ellie; Lim, Tian-Hoe, Process for forming a low dielectric constant carbon-containing film.
  466. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing.
  467. Sunit Tyagi, Process for making semiconductor device with epitaxially grown source and drain.
  468. Skarp, Jarmo; Linnermo, Mervi; Asikainen, Timo, Process for producing aluminum oxide films at low temperatures.
  469. Putkonen, Matti, Process for producing zirconium oxide thin films.
  470. Tachi Shinichi (Sayama JPX) Okudaira Sadayuki (Ome JPX) Tsujimoto Kazunori (Hachioji JPX) Mukai Kiichiro (Hachioji JPX), Process for surface treatment.
  471. Kawakami Soichiro (Hikone) Kanai Masahiro (Tokyo) Aoki Takeshi (Machida JPX), Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method.
  472. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  473. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  474. Kiyomori,Ayumu; Kubota,Tohru, Processes of making γ,δ-unsaturated carboxylic acid and silyl ester thereof, carboxyl group-containing organosilicon compound and process of making.
  475. Li, Yicheng, Processing chamber for manufacturing semiconductors.
  476. Hayashi, Kazuichi; Yatsuda, Kouichi; Urakawa, Masafumi, Processing device, electrode, electrode plate, and processing method.
  477. Kent, Martin; Laflamme, Jr., Arthur H; Wallace, Jay; Hamelin, Thomas, Processing system and method for treating a substrate.
  478. Kai-Erik Elers FI; Ville Antero Saanila FI; Sari Johanna Kaipio FI; Pekka Juha Soininen FI, Production of elemental thin films using a boron-containing reducing agent.
  479. Moslehi Mehrdad M. (Dallas TX) Davis Cecil J. (Greenville TX) Matthews Robert T. (Plano TX), Programmable multizone gas injector for single-wafer semiconductor processing equipment.
  480. Yamasaki Shigeru,JPX ; Okumura Masahiko,JPX, Projection exposure apparatus and method.
  481. Nishi Kenji (Kawasaki JPX), Projection exposure apparatus having an off-axis alignment system and method of alignment therefor.
  482. Quartarone James M. (Portsmouth RI), Protective coating system for aluminum.
  483. Subramonium,Pramod; Fang,Zhiyuan; Henri,Jon, Pulsed PECVD method for modulating hydrogen content in hard mask.
  484. Shanker, Sunil; Lang, Chi I, Pulsed bias having high pulse frequency for filling gaps with dielectric material.
  485. Yau Leopoldo D. (Portland OR) Kawamoto Galen H. (Beaverton OR), Pulsed dual radio frequency CVD process.
  486. Moore Gary M. (San Jose CA) Nishikawa Katsuhito (San Jose CA), Rapid thermal processing apparatus for processing semiconductor wafers.
  487. Murakami Takeshi,JPX ; Takeuchi Noriyuki,JPX ; Shinozaki Hiroyuki,JPX ; Tsukamoto Kiwamu,JPX ; Fukunaga Yukio,JPX ; Hongo Akihisa,JPX, Reactant gas ejector head and thin-film vapor deposition apparatus.
  488. Fondurulia, Kyle; Shero, Eric J; Verghese, Mohith; White, Carl L, Reactant source vessel.
  489. Timmermans, Eric A. H.; Teepen, Maarten J.; Mucciato, Raffaele; Wilhelm, Rudi, Reactor precoating for reduced stress and uniform CVD.
  490. Borkholder, Olen, Recess ceiling fan bezel.
  491. Mikata, Yuuichi; Katsui, Shuji; Akahori, Hiroshi, Reliable semiconductor device and method of manufacturing the same.
  492. Sandhu, Gurtej S.; Li, Li, Removal of carbon from an insulative layer using ozone.
  493. Bakre, Shashank; Kumar, Nitin, Resetting an electronic ballast in the event of fault.
  494. Ando, Ryuji; Hino, Kazunori; Furutani, Akihiro, Robot hand and robot.
  495. Hino, Kazunori; Ando, Ryuji; Shimada, Katsuhiko, Robot hand and robot.
  496. Lohmann, Hans, Roof drain.
  497. deBoer Wiebe B. (Kromme Molenweg 10 5521 GB Eersel OR NLX) Ozias Albert E. (7515 Poet Rd. ; S.E. Aumsville OR 97325), Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment.
  498. Sisk, David E., Screened gasket.
  499. Yamagishi, Takayuki; Tsuji, Naoto; Sato, Kazuo, Seal ring.
  500. Engelbrektsson, Anders, Sealing ring membrane.
  501. Shelnut, James G.; Merricks, David; Dutkewych, Oleh B.; Shipley, Charles R., Seed layer deposition.
  502. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductor films.
  503. Haukka, Suvi P.; Niskanen, Antti; Tuominen, Marko, Selective formation of metallic films on metallic surfaces.
  504. Gabriel, Calvin T.; Levinson, Harry J.; Okoroanyanwu, Uzodinma, Selective photoresist hardening to facilitate lateral trimming.
  505. Avouris, Phaedon; Carruthers, Roy A.; Chen, Jia; Detavernier, Christopher G. M. M.; Lavoie, Christian; Wong, Hon-Sum Philip, Self-aligned process for nanotube/nanowire FETs.
  506. Disel Jimmy D., Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles.
  507. Oda, Noriaki, Semiconductor device and method for manufacturing the same.
  508. Sakuma, Kiwamu; Kusai, Haruka; Fujii, Shosuke; Zhang, Li; Kiyotoshi, Masahiro; Shingu, Masao, Semiconductor device and method of manufacturing the same.
  509. Raisanen, Petri; Givens, Michael; Verghese, Mohith, Semiconductor device dielectric interface layer.
  510. Raisanen, Petri; Givens, Michael; Verghese, Mohith, Semiconductor device dielectric interface layer.
  511. Shamouilian, Shamouil; Lai, Canfeng; Cox, Michael Santiago; Krishnaraj, Padmanabhan; Tanaka, Tsutomu; Raoux, Sebastien; Porshnev, Peter I.; Nowak, Thomas, Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas.
  512. Yamamoto Hiroshi (Suwa JPX), Semiconductor device having a passivation layer with silicon nitride layers.
  513. Tamura Akiyoshi (Suita JPX), Semiconductor device having an MIS structure.
  514. Kato Toshio,JPX ; Tokumasu Noboru,JPX ; Azumi Takayoshi,JPX, Semiconductor device manufacturing system.
  515. Kang, Sang-bom; Lim, Hyun-seok; Chae, Yung-sook; Jeon, In-sang; Choi, Gil-heyun, Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors.
  516. Saito, Kazuyoshi; Takagi, Youji, Semiconductor fabrication apparatus and fabrication method thereof.
  517. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing.
  518. Menser, Jr., Clayton David; Gilfford, Jeffrey Paul, Semiconductor manufacturing process monitoring.
  519. Lee,Byung Il, Semiconductor manufacturing system and wafer holder for semiconductor manufacturing system.
  520. Yan,Jiang; Sung,Chun Yung; Shum,Danny Pak Chum; Gutmann,Alois, Semiconductor method and device with mixed orientation substrate.
  521. Patalay, Kailash K.; Metzner, Craig; Carlson, David K., Semiconductor process chamber vision and monitoring system.
  522. Yamagishi, Takayuki; Suwada, Masaei; Watanabe, Takeshi, Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections.
  523. Yamagishi,Takayuki; Suwada,Masaei; Watanabe,Takeshi, Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections.
  524. Kiyoshi Satoh JP, Semiconductor processing apparatus with substrate-supporting mechanism.
  525. Shero, Eric; Verghese, Mohith E.; White, Carl L.; Terhorst, Herbert; Maurice, Dan, Semiconductor processing reactor and components thereof.
  526. Goodwin Dennis L. ; Hawkins Mark R. ; Crabb Richard ; Doley Allan D., Semiconductor processing system with gas curtain.
  527. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  528. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  529. Xie, Qi; Machkaoutsan, Vladimir; Maes, Jan Willem, Semiconductor structure and device and methods of forming same using selective epitaxial process.
  530. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Semiconductor substrate process using a low temperature deposited carbon-containing hard mask.
  531. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Semiconductor substrate process using an optically writable carbon-containing mask.
  532. Takizawa, Masahiro; Suwada, Masaei; Wada, Takashi, Semiconductor substrate transfer apparatus and semiconductor substrate processing apparatus equipped with the same.
  533. Hong Ji-hoon,KRX ; Nam Ki-heum,KRX, Semiconductor wafer boat with reduced wafer contact area.
  534. Guldi, Richard L.; Melcher, Keith W.; Williston, John, Semiconductor wafer edge marking.
  535. Orczyk Maciek ; Murugesh Laxman ; Narwankar Pravin, Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing.
  536. Orczyk Maciek ; Murugesh Laxman ; Narwankar Pravin, Sequencing of the recipe steps for the optimal low-k HDP-CVD processing.
  537. Fair, James A.; Taylor, Nerissa, Sequential UV induced chemical vapor deposition.
  538. Sherman Arthur, Sequential chemical vapor deposition.
  539. Sherman, Arthur, Sequential chemical vapor deposition.
  540. Sherman, Arthur, Sequential chemical vapor deposition.
  541. Tarafdar, Raihan M.; Papasouliotis, George D.; Rulkens, Ron; Hausmann, Dennis M.; Tobin, Jeff; Tipton, Adrianne K.; Nie, Bunsen, Sequential deposition/anneal film densification method.
  542. Moghadam,Farhad K.; Cox,Michael S.; Krishnaraj,Padmanabhan; Pham,Thanh N., Sequential gas flow oxide deposition technique.
  543. Chandrasekharan, Ramesh; Lavoie, Adrien; Slevin, Damien; Leeser, Karl, Sequential precursor dosing in an ALD multi-station/batch reactor.
  544. McClurg Ben B., Sheet rock plug.
  545. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  546. Iizuka, Hachishiro, Shower head and plasma processing apparatus having same.
  547. Park, Jong-chul; Kim, Dong-hyun; Kwon, O-ik; Jo, Hye-jin, Shower head of a wafer treatment apparatus having a gap controller.
  548. Glunk, Guenter, Shower installation.
  549. Matteo Piano IT, Shower plate.
  550. Matteo Piano IT, Shower plate.
  551. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Shower plate.
  552. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Shower plate.
  553. Yamagishi, Takayuki; Tsuji, Naoto; Sato, Kazuo, Shower plate.
  554. Yamagishi, Takayuki; Tsuji, Naoto; Sato, Kazuo, Shower plate.
  555. Yanagisawa, Ippei; Hebiguchi, Shuzo; Hara, Kei, Shower plate.
  556. Aida, Koei; Baba, Tomoyuki, Shower plate having different aperture dimensions and/or distributions.
  557. Delepine Jean-Claude (23 rue Clapeyron 75008 Paris FRX), Shower room and ceiling element, especially for a shower room.
  558. Breda, Silvano, Shower strainer.
  559. Breda, Silvano, Shower strainer.
  560. Lindfors, Sven; Soininen, Pekka Juha, Showerhead assembly and ALD methods.
  561. Tiner, Robin L.; Choi, Soo Young; Wang, Qunhua; Chen, Jrjyan Jerry, Showerhead support structure for improved gas flow.
  562. Karl A. Littau ; Bevan Vo ; Salvador P. Umotoy ; Son N. Trinh ; Chien-Teh Kao ; Ken Kaung Lai ; Bo Zheng ; Ping Jian ; Siqing Lu ; Anzhong Chang, Showerhead with reduced contact area.
  563. Rossman, Kent, Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput.
  564. Kouvetakis, John; Bauer, Matthew; Tolle, John, SiSnGeand related alloy heterostructures based on Si, Ge and Sn.
  565. Chen, Jerry; Machkaoutsan, Vladimir; Milligan, Brennan; Maes, Jan Willem; Haukka, Suvi; Shero, Eric; Blomberg, Tom E.; Li, Dong, Silane and borane treatments for titanium carbide films.
  566. Arai, Takeshi; Honma, Tadaaki; Habuka, Hitoshi, Silicon epitaxial wafer and production method therefor.
  567. Kumagai, Akira; Ishibashi, Keiji; Mori, Shigeru, Silicon oxide film formation method.
  568. Ylva Backlund SE, Silicon substrate having a recess for receiving an element, and a method of producing such a recess.
  569. Nobuo Matsuki JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  570. Nobuo Matsuki JP; Yuichi Naito JP; Yoshinori Morisada JP; Aya Matsunoshita JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  571. Nobuo Matsuki JP; Yuichi Naito JP; Yoshinori Morisada JP; Aya Matsunoshita JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  572. Niroomand, Ardavan; Zhou, Baosuo; Alapati, Ramakanth, Simplified pitch doubling process flow.
  573. Wells, David H.; Abatchev, Mirzafer K., Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures.
  574. Arai, Izumi, Single-and dual-chamber module-attachable wafer-handling chamber.
  575. Shrinivasan, Krishna; Wang, Feng; Kamian, George; Gentile, Steve; Yam, Mark, Single-chamber sequential curing of semiconductor wafers.
  576. Blahnik,Jeff; Kraus,Joe; Rice,Mike, Single-sided inflatable vertical slit valve.
  577. Velez Cherle (9609 Arbor Meadow Dr. Boynton Beach FL 33437), Sink drain shield.
  578. Tomiyasu, Shizuo; Tokudome, Kohichi; Haga, Kenichi, Solid organometallic compound-filled container and filling method thereof.
  579. Tomiyasu, Shizuo; Tokudome, Kohichi; Haga, Kenichi, Solid organometallic compound-filled container and filling method thereof.
  580. Cleary, John M.; Arno, Jose I.; Hendrix, Bryan C.; Naito, Donn; Battle, Scott; Gregg, John N.; Wodjenski, Michael J.; Xu, Chongying, Solid precursor-based delivery of fluid utilizing controlled solids morphology.
  581. Lindfors, Sven; von Zweygbergk, Jan; Kukkonen, Marko J., Source chemical container assembly.
  582. Adomaitis, Raymond A.; Kidder, Jr., John N.; Rubloff, Gary W., Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation.
  583. Schrameyer, Michael A., Spring retained end effector contact pad.
  584. Shibazaki,Yuichi, Stage device with frame-shaped member movable in at least three degrees of freedom within a two-dimensional plane.
  585. Ishikawa Kenji (Sagamihara JPX) Komino Mitsuaki (Tokyo JPX) Mitui Tadashi (Yamanashi JPX) Iwata Teruo (Nirasaki JPX) Arai Izumi (Yokohama JPX) Tahara Yoshifumi (Tokyo JPX), Stage having electrostatic chuck and plasma processing apparatus using same.
  586. Hall Richard H. ; Stephenson Andrew J. ; Flor Nicolo,CAX, Stand-pipe assembly unit for a containment basin which holds a sorbent material containing cartridge and which can hold a silt-collecting sock over its top tray.
  587. Hurwitt Steven D. (Park Ridge NJ) Wagner Israel (Monsey NY), Stationary aperture plate for reactive sputter deposition.
  588. Zhu, Huilong; Rausch, Werner, Structure and method for fabricating self-aligned metal contacts.
  589. Weeks, Keith Doran, Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same.
  590. Gates,Stephen M.; Nguyen,Son, Structures and methods for integration of ultralow-k dielectrics with improved reliability.
  591. Shero,Eric J.; Givens,Michael E.; Schmidt,Ryan, Sublimation bed employing carrier gas guidance structures.
  592. Goto, Shigehiro; Matsuchika, Keiji; Morita, Akihiko, Substrate heat treatment apparatus.
  593. Kaneno Nobuaki,JPX ; Kizuki Hirotaka,JPX ; Takemi Masayoshi,JPX ; Mori Kenzo,JPX, Substrate holder for MOCVD.
  594. Satoshi Okada JP; Michiko Nishiwaki JP; Katsuhisa Kasanami JP; Eisuke Nishitani JP, Substrate processing apparatus and semiconductor device producing method.
  595. Ueda, Issei; Kudou, Hiroyuki, Substrate processing apparatus and substrate processing method.
  596. Kawamoto, Tomokazu, Substrate processing method, and method of manufacturing semiconductor device.
  597. Nishimura, Hideki; Nakashima, Mikio, Substrate processing method, substrate processing apparatus, and program storage medium.
  598. Lee, Jeong Ho; Jeong, Sang Jin; Jung, Dong Rak, Substrate support for a semiconductor deposition apparatus.
  599. Choi, Seung Woo; Noh, Hyung Wook; Woo, Jeong Jun; Kim, Dae Youn; Jang, Hyun Soo, Substrate supporter for semiconductor deposition apparatus.
  600. Jang, Hyun Soo; Kim, Dae Youn; Lee, Jeong Ho; Lee, Seung Seob; Kwon, Hak Yong, Substrate supporter for semiconductor deposition apparatus.
  601. Kida,Naoya; Tachikawa,Toshihiro; Futakuchiya,Jun, Substrate supporting apparatus.
  602. Shibagaki, Masami; Kurematsu, Yasumi, Substrate supporting/transferring tray.
  603. Shibagaki, Masami; Kurematsu, Yasumi, Substrate supporting/transferring tray.
  604. Raaijmakers Ivo ; Jacobs Loren ; Halpin Mike ; Alexander Jim ; O'Neill Ken ; Goodwin Dennis Lee, Substrate transfer system for semiconductor processing equipment.
  605. Yamagishi, Takayuki; Kobayashi, Tamihiro; Watanabe, Akira; Kaneuchi, Kunihiro, Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus.
  606. Ikedo, Yozo, Substrate-supporting device.
  607. Shin, Daisuke; Kamijo, Machiko; Ando, Ryuji, Suction structure, robot hand and robot.
  608. Hu Evelyn L. (Somerset NJ) Jackel Lawrence D. (Morganville NJ), Superconducting junctions utilizing a binary semiconductor barrier.
  609. Griffiths, Stewart K.; Nilson, Robert H.; Torres, Kenneth J., Support apparatus for semiconductor wafer processing.
  610. Toya Eiichi (Oguni JPX) Itoh Yukio (Oguni JPX) Ohashi Tadashi (Oguni JPX) Sumiya Masayuki (Oguni JPX) Sasaki Yasumi (Oguni JPX), Susceptor.
  611. Yokogawa,Masanari, Susceptor.
  612. Hellwig Lance G. (Jennings MO), Susceptor and baffle therefor.
  613. Son, Hyo Kun, Susceptor and semiconductor manufacturing apparatus including the same.
  614. Maki, Keigo, Susceptor device.
  615. Perlov Ilya (Santa Clara CA), Susceptor drive and wafer displacement mechanism.
  616. Nakamura, Shuji; DenBaars, Steven; Batres, Max; Coulter, Michael, Susceptor for MOCVD reactor.
  617. Kordina Olle (Sturefors SEX) Fornell Jan-Olov (Malmo SEX) Berge Rune (Lund SEX) Nilsson Roger (Lund SEX), Susceptor for a device for epitaxially growing objects and such a device.
  618. Martin John G. (Scotch Plains NJ), Susceptor for heating semiconductor substrates.
  619. Hill Lawrence B. (Short Hills NJ) Garbis Dennis (Dix Hills NY) Heller Robert C. (Stonybrook NY) Granata Amedeo J. (Flushing NY), Susceptor for radiant absorption heater system.
  620. Yamada Takayuki (Saga JPX) Kii Takeshi (Saga JPX), Susceptor for vapor-phase growth system.
  621. Dunn, Todd; Alokozai, Fred; Winkler, Jerry; Halpin, Michael, Susceptor heater and method of heating a substrate.
  622. Dunn, Todd; Alokozai, Fred; Winkler, Jerry; Halpin, Michael, Susceptor heater and method of heating a substrate.
  623. Dunn, Todd; White, Carl; Halpin, Michael; Shero, Eric; Winkler, Jerry, Susceptor heater shim.
  624. Kim, Yong-Jin, Susceptor of apparatus for manufacturing semiconductor device.
  625. Ohashi Tsuneaki,JPX, Susceptors.
  626. Yamaguchi, Shinji, Susceptors for semiconductor-producing apparatuses.
  627. Metzner, Craig R.; Kher, Shreyas S.; Han, Shixue, System and method for forming a gate dielectric.
  628. Hosek,Martin, System and method for on-the-fly eccentricity recognition.
  629. Tuominen, Marko; Shero, Eric; Verghese, Mohith, System for controlling the sublimation of reactants.
  630. Tuominen, Marko; Shero, Eric; Verghese, Mohith, System for controlling the sublimation of reactants.
  631. Van Bilsen Frank B. M., System of controlling the temperature of a processing chamber.
  632. Sarin, Michael Christopher; Mendez, Rafael; Bartlett, Gregory M.; Hill, Eric; Lawson, Keith R.; Rosser, Andy, Systems and methods for mass flow controller verification.
  633. Tabrizi Farzad ; Kitazumi Barry ; Barker David A. ; Setton David A. ; Niewmierzycki Leszek ; Kuhlman Michael J., Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber.
  634. Raisanen, Petri; Sung-hoon, Jung; Mohith, Verghese, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  635. Shero, Eric J.; Raisanen, Petri I.; Jung, Sung-Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  636. Shero, Eric; Raisanen, Petri I.; Jung, Sung Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species.
  637. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Tantalum lanthanide oxynitride films.
  638. Lubomirsky Dmitry ; D'ambra Allen I. ; Floyd Edward L ; Liang Qiwei ; Hoffman Daniel J ; Fuentes Victor H ; Yavelberg Simon ; Chen Jerry C, Temperature control system for process chamber.
  639. Kholodenko Arnold ; Lee Ke Ling ; Shendon Maya ; Quiles Efrain, Temperature control system for semiconductor process chamber.
  640. Vellore, Kim; Liang, Qiwei; Polar, Erwin, Temperature controlled chamber.
  641. MacCracken Thomas G. (Van Alstyne TX) MacCracken Janet (Van Alstyne TX) MacCracken Richard E. (Dallas TX) Rice Millard B. (Plano TX), Temperature controlled insulation system.
  642. Tsukamoto, Yuji; Strang, Eric J., Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system.
  643. Nguyen, Vuong P.; Sims, Richard E.; Zhu, Xiaoguang, Thermal process station with heated lid.
  644. Tuma, Phillip E.; Palmgren, Gary M., Thermal transfer coating.
  645. Adams David V. (San Jose) Anderson Roger N. (Santa Clara) Deacon Thomas E. (San Jose CA), Thermally processing semiconductor wafers at non-ambient pressures.
  646. Hsu, Tsang-Hung, Toothbrush dryer.
  647. Hirakida, Junichi, Top cover of a load lock chamber.
  648. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Top plate.
  649. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Top plate.
  650. Honma, Manabu; Hishiya, Katsuyuki, Top plate for reactor for manufacturing semiconductor.
  651. Goela Jitendra S. (Andover MA) Burns Lee E. (Woburn MA) Teverovsky ALexander (Concord MA) MacDonald James C. (Stoneham MA), Triangular deposition chamber for a vapor deposition system.
  652. Chadbourne, Jeff, Two-piece magnetic clamp.
  653. Xie, Bo; Demos, Alexandros T.; Yim, Kang Sub; Nowak, Thomas; Chan, Kelvin, UV assisted silylation for recovery and pore sealing of damaged low K films.
  654. Kurt H. Junker ; Nicole R. Grove ; Marijean E. Azrak, UV cure process and tool for low k film formation.
  655. Matsushita, Kiyohiro; Kagami, Kenichi, UV light irradiating apparatus with liquid filter.
  656. van Schravendijk, Bart; Crew, William, UV treatment for carbon-containing low-k dielectric repair in semiconductor processing.
  657. Marking,Gregory A.; Synder,Thomas M., UV-emitting phosphor and lamp containing same.
  658. Maydan Dan ; Somekh Sasson ; Sinha Ashok ; Fairbairn Kevin ; Lane Christopher ; Colborne Kelly ; Ponnekanti Hari K. ; Taylor W. N.(Nick), Ultra high throughput wafer vacuum processing system.
  659. Bonora,Anthony C.; Hine,Roger G., Ultra low contact area end effector.
  660. Moroishi Koutaro,JPX ; Hayashi Tarou,JPX, Ultraviolet irradiation device of the optical path division type.
  661. Schuegraf Klaus F. ; Fazan Pierre C., Uniform dielectric film deposition on textured surfaces.
  662. Kurara,Hironobu; Sonobe,Muneaki; Enomoto,Shinichi, Unit for varying a temperature of a test piece and testing instrument incorporating same.
  663. Bogdan Alexei,CAX, Universal ballast control circuit.
  664. Weber,Frank, Using polydentate ligands for sealing pores in low-k dielectrics.
  665. Paris ; Sr. Raymond L. (3007 Bud Ct. ; Rte. 8 Joliet IL 60436), Valve lock.
  666. Edwin V. Babbitt, III, Valve lockout/tag out system.
  667. Chiang, Tony P.; Shanker, Sunil; Lang, Chi-I, Vapor based combinatorial processing.
  668. Elers, Kai Erik, Vapor deposition of metal carbide films.
  669. McMenamin Joseph C. (Fresno CA), Vapor mass flow control system.
  670. McMenamin Joseph C. (Oceanside CA), Vapor mass flow control system.
  671. Samata Shuichi (Yokohama JPX) Matsushita Yoshiaki (Yokohama JPX), Vapor phase epitaxial growth apparatus.
  672. DeYoung, James, Vapor phase repair and pore sealing of low-K dielectric materials.
  673. Bertone Daniele (Torino ITX), Vapour generator for chemical vapour deposition systems.
  674. Ratcliffe,Peter W., Vehicle accessory.
  675. Vansell, Christopher; Collins, Fred; Getze, Richard, Vent cap device.
  676. Cronce, Jr., Richard W., Vent pipe protective cover.
  677. Nagasaka, Munetoshi; Ogasawara, Ikuo; Shinohara, Eiichi, Wafer attracting plate.
  678. Sato Mitsuya (Yokohama JPX) Imai Shunzo (Yamato JPX) Hiraga Ryozo (Kanaga JPX), Wafer handling apparatus and method.
  679. Edelstein Sergio ; Chen Steven A. ; Parkhe Vijay D., Wafer heater assembly.
  680. Fure, Hiroshi; Nagasaki, Koichi; Uchiyama, Kyoji, Wafer heating apparatus.
  681. Miller Michael B. (DeSoto TX), Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same.
  682. Kawamura,Keisuke; Sasaki,Tsutomu; Matsumura,Atsuki; Ikari,Atsushi; Hamaguchi,Isao; Inoue,Yoshiharu; Tanaka,Koki; Hayashi,Shunichi, Wafer holding, wafer support member, wafer boat and heat treatment furnace.
  683. Li Shih-Hung ; Vass Curtis, Wafer out-of-pocket detection method.
  684. Guy T. Blalock ; Hugh E. Stroupe ; Brian F. Gordon, Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing.
  685. Obigane Tadashi (Kofu JPX), Wafer probing test machine.
  686. Takizawa, Masahiro; Suwada, Masaei; Akagawa, Masayuki, Wafer processing apparatus with wafer alignment device.
  687. Halpin, Michael W.; Hawkins, Mark R.; Foster, Derrick W.; Vyne, Robert M.; Wengert, John F.; van der Jeugd, Cornelius A.; Jacobs, Loren R., Wafer support system.
  688. Yamagishi Takayuki,JPX ; Suwada Masaei,JPX ; Furukawara Kazunori,JPX, Wafer transfer mechanism.
  689. Harakal Mark E. (Coopersburg PA) Wasilczyk George J. (Allentown PA) Andrew Gary D. (Walnutport PA) Makus Zdizslaw (Bad Wiesse MI DEX) Scarpati Michael (Woodhaven MI), Water based mold release compositions for making molded polyurethane foam.
  690. Guzman,Guillermo; Worboys,Keith, Water filter.
  691. Aylward, Peter T.; Smith, Thomas M.; Slater, Daniel A.; Ram, Arunachalam T., Waveguide and method of smoothing optical surfaces.
  692. Kushida, Toshikazu; Oka, Yohei, Work holding mechanism.
  693. Budinger William D. (16 Southridge Rd. Kennett Square PA 19348), Workpiece holder for polishing operation.
  694. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  695. Conrad Richard H. (950 Idylberry Rd. San Rafael CA 94903), method of powering corona discharge in ozone generators.

이 특허를 인용한 특허 (4)

  1. den Hartog Besselink, Edwin; Garssen, Adriaan; Dirkmaat, Marco, Cassette holder assembly for a substrate cassette and holding member for use in such assembly.
  2. Lee, Choong Man; Yoo, Yong Min; Kim, Young Jae; Chun, Seung Ju; Kim, Sun Ja, Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method.
  3. Raisanen, Petri; Givens, Michael Eugene, Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures.
  4. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Process for forming a film on a substrate using multi-port injection assemblies.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로