$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Nonplanar device with thinned lower body portion and method of fabrication 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/84
  • H01L-027/12
  • H01L-029/66
  • H01L-029/78
  • H01L-027/088
  • H01L-029/423
  • H01L-021/8234
출원번호 US-0673219 (2017-08-09)
등록번호 US-10236356 (2019-03-19)
발명자 / 주소
  • Shah, Uday
  • Doyle, Brian S.
  • Brask, Justin K.
  • Chau, Robert S.
  • Letson, Thomas A.
출원인 / 주소
  • Intel Corporation
대리인 / 주소
    Schwabe, Williamson & Wyatt, P.C.
인용정보 피인용 횟수 : 0  인용 특허 : 356

초록

A nonplanar semiconductor device having a semiconductor body formed on an insulating layer of a substrate. The semiconductor body has a top surface opposite a bottom surface formed on the insulating layer and a pair of laterally opposite sidewalls wherein the distance between the laterally opposite

대표청구항

1. A nonplanar transistor, comprising: a semiconductor body disposed above a substrate, the semiconductor body having a channel region comprising: a top surface; anda pair of laterally opposite sidewalls extending downward from the top surface;an upper body portion adjacent the top surface and above

이 특허에 인용된 특허 (356)

  1. van Bentum,Ralf; Luning,Scott; Wei,Andy, Advanced technique for forming a transistor having raised drain and source regions.
  2. Li Li, Ammonium hydroxide etch of photoresist masked silicon.
  3. Keating,Steven; Auth,Chris, Amorphous etch stop for the anisotropic etching of substrates.
  4. Forbes Leonard ; Noble Wendell P., Another technique for gated lateral bipolar transistors.
  5. An, Judy Xilin; Yu, Bin, Asymmetrical double gate or all-around gate MOSFET devices and methods for making same.
  6. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics.
  7. Anne Sanderfer, BC13/AR chemistry for metal overetching on a high density plasma etcher.
  8. O'Keeffe,James; Cho,Kyeongjae, Band-structure modulation of nano-structures in an electric field.
  9. Takagi Shinichi (Tokyo JPX) Mizuno Tomohisa (Yokohama JPX), BiCMOS device with low bandgap CMOS contact regions and low bandgap bipolar base region.
  10. Chen, Haur-Ywh; Chan, Yi-Ling; Yang, Kuo-Nan; Yang, Fu-Liang; Hu, Chenming, Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement.
  11. James Allan Bruce ; Jonathan Daniel Chapple-Sokol ; Charles W. Koburger, III ; Michael James Lercel ; Randy William Mann ; James Spiros Nakos ; John Joseph Pekarik ; Kirk David Peterson ; Jed, Borderless contact to diffusion with respect to gate conductor and methods for fabricating.
  12. Chu Jack Oon ; Ismail Khalid Ezzeldin ; Lee Kim Yang ; Ott John Albrecht, Bulk and strained silicon on insulator using local selective oxidation.
  13. Lindert,Nick; Cea,Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  14. Lindert,Nick; Cea,Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  15. Wen Jemmy,TWX ; Ko Joe,TWX, Buried structure SRAM cell and methods for fabrication.
  16. Yeo, Yee-Chia; Hu, Chenming; Yang, Fu-Liang, CMOS SRAM cell configured using multiple-gate transistors.
  17. Yeo,Yee Chia; Yang,Fu Liang; Hu,Chenming, CMOS inverters configured using multiple-gate transistors.
  18. Yamazaki Shunpei,JPX ; Ohtani Hisashi,JPX ; Fukunaga Takeshi,JPX, CMOS semiconductor device having boron doped channel.
  19. Boos J. Brad ; Yang Ming-Jey ; Bennett Brian R. ; Park Doewon ; Kruppa Walter, Channel design to reduce impact ionization in heterostructure field-effect transistors.
  20. Noble Wendell P. ; Forbes Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  21. Baca Albert G. (Albuquerque NM) Drummond Timothy J. (Albuquerque NM) Robertson Perry J. (Albuquerque NM) Zipperian Thomas E. (Albuquerque NM), Complementary junction heterostructure field-effect transistor.
  22. Lo Yu-Hwa, Compliant universal substrate for epitaxial growth.
  23. Wen-Yen Hwang ; Yucai Zhou ; Zuhua Zhu ; Yu-Hwa Lo, Compliant universal substrates for optoelectronic and electronic devices.
  24. Abadeer,Wagdi W.; Brown,Jeffrey S.; Fried,David M.; Gauthier, Jr.,Robert J.; Nowak,Edward J.; Rankin,Jed H.; Tonti,William R., Concurrent Fin-FET and thick-body device fabrication.
  25. Yeo,Yee Chia; Yang,Fu Liang; Hu,Chenming, Contacts to semiconductor fin devices.
  26. Lee Teck Koon,SGX ; Chan Lap ; Gan Chock H.,SGX ; Liu Po-Ching,SGX, Creation of a self-aligned, ion implanted channel region, after source and drain formation.
  27. Hanafi, Hussein I.; Brown, Jeffrey J.; Natzle, Wesley C., Damascene double-gate MOSFET with vertical channel regions.
  28. Ahmed, Shibly S.; Wang, Haihong; Yu, Bin, Damascene gate process with sacrificial oxide in semiconductor devices.
  29. Yu Bin ; An Judy Xilin, Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET.
  30. Vaartstra,Brian A.; Westmoreland,Donald; Marsh,Eugene P.; Uhlenbrock,Stefan, Deposition methods using heteroleptic precursors.
  31. Bulucea Constantin ; Kerr Daniel C., Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect tra.
  32. Gregor Kohlruss DE; Hubert Wiesner DE; Ulrich Lersch DE; Oliver Griebe DE, Device for cleaning flat objects.
  33. Lee Sangin (Suwon KRX), Dielectric medium for capacitor of semiconductor device.
  34. Hudait, Mantu K.; Shaheen, Mohamad A.; Chow, Loren A.; Tolchinsky, Peter G.; Loubychev, Dmitri; Fastenau, Joel M.; Liu, Amy W. K., Dislocation-free InSb quantum well structure on Si using novel buffer architecture.
  35. Yeo,Yee Chia; Wang,Ping Wei; Chen,Hao Yu; Yang,Fu Liang; Hu,Chenming, Doping of semiconductor fin devices.
  36. Ahmed,Shibly S.; Wang,Haihong; Yu,Bin, Double gate semiconductor device having a metal gate.
  37. Ahmed, Shibly S.; Wang, Haihong; Yu, Bin, Double gate semiconductor device having separate gates.
  38. Bin Yu, Double gate transistor having a silicon/germanium channel region.
  39. James W. Adkisson ; Paul D. Agnello ; Arne W. Ballantine ; Rama Divakaruni ; Erin C. Jones ; Jed H. Rankin, Double gate trench transistor.
  40. Gerhard Enders ; Thomas Schulz DE; Dietrich Widmann DE; Lothar Risch DE, Double gated transistor.
  41. Huang Tiao-Yuan (Cupertino CA), Double implanted LDD transistor self-aligned with gate.
  42. James W. Adkisson ; John A. Bracchitta ; John J. Ellis-Monaghan ; Jerome B. Lasky ; Effendi Leobandung ; Kirk D. Peterson ; Jed H. Rankin, Double planar gated SOI MOSFET structure.
  43. Buynoski, Matthew S.; An, Judy Xilin; Wang, Haihong; Yu, Bin, Double spacer FinFET formation.
  44. Yaung Dun-Nian,TWX ; Wuu Shou-Gwo,TWX ; Chao Li-Chih,TWX ; Huang Kuo Ching,TWX, Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits.
  45. Lee, Jong-Ho, Double-gate FinFET device and fabricating method thereof.
  46. Sekigawa, Toshihiro; Ishii, Kenichi; Suzuki, Eiichi, Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same.
  47. Sekigawa,Toshihiro; Ishii,Kenichi; Suzuki,Eiichi, Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same.
  48. Yu, Bin, Double-gate vertical MOSFET transistor and fabrication method.
  49. Chang, Hong-Long; Kung, Ming-Li; Lu, Hungyueh; Liu, Fang-Fei, Dry clean method instead of traditional wet clean after metal etch.
  50. Hou, Tou-Hung; Wang, Ming-Fang; Chen, Chi-Chun; Yang, Chih-Wei; Yao, Liang-Gi; Chen, Shih-Chang, Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices.
  51. Ito, Shinya, Enhanced deposition control in fabricating devices in a semiconductor wafer.
  52. Dakshina-Murthy, Srikanteswara; Yang, Chih-Yuh; Yu, Bin, Epitaxially grown fin for FinFET.
  53. Hsieh Chi-Kuo,TWX, Etching method for doped polysilicon layer.
  54. Takahashi, Akira, Etching method, gate etching method, and method of manufacturing semiconductor devices.
  55. Joshi, Rajiv V; Williams, Richard Q, FET channel having a strained lattice structure along multiple surfaces.
  56. Ku,Victor; Steegen,An; Wann,Hsing Jen C., FET gate structure with metal gate electrode and silicide contact.
  57. Minoru Kubo JP; Katsuya Nozawa JP; Masakatsu Suzuki JP; Takeshi Uenoyama JP; Yasuhito Kumabuchi JP, FET having a Si/SiGeC heterojunction channel.
  58. Yu, Bin, Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology.
  59. Bin Yu, Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology.
  60. Wang Kang L. (Santa Monica CA) Park Jin S. (Gardena CA), Field effect devices having short period superlattice structures using Si and Ge.
  61. Luyken, Richard Johannes; Schlösser, Till; Haneder, Thomas Peter; Hönlein, Wolfgang; Kreupl, Franz, Field effect transistor.
  62. Kinoshita,Atsuhiro; Koga,Junji, Field effect transistor and manufacturing method thereof.
  63. Chau, Robert S.; Barlage, Doulgas; Jin, Been-Yih, Field effect transistor and method of fabrication.
  64. Mukai Mikio,JPX, Field effect transistor having multiple gate electrodes surrounding the channel region.
  65. Anderson,Brent A.; Ludwig,Thomas; Nowak,Edward J., Field effect transistor with raised source/drain fin straps.
  66. Fried, David M.; Nowak, Edward J.; Rainey, Beth A; Sadana, Devendra K., Fin FET devices from bulk semiconductor and method for forming.
  67. Gambino, Jeffrey P.; Lasky, Jerome B.; Rankin, Jed H., Fin field effect transistor with self-aligned gate.
  68. Kim,Young Pil; Lee,Sun Ghil; Choi,Si Young, Fin field effect transistors having multi-layer fin patterns.
  69. Nowak,Edward J., Fin-type field effect transistor.
  70. Anderson, Brent A.; Bryant, Andres; Nowak, Edward J., FinFET SRAM cell with chevron FinFET logic.
  71. Lin, Ming-Ren; Goo, Jung-Suk; Wang, Haihong; Xiang, Qi, FinFET device incorporating strained silicon in the channel region.
  72. Cheng,Zhiyuan; Fitzgerald,Eugene A.; Antoniadis,Dimitri, FinFET structure and method to make the same.
  73. Orlowski,Marius K.; Stephens,Tab A., FinFET structure with contacts.
  74. Anderson,Brent A.; Bryant,Andres; Nowak,Edward J., FinFET with low gate capacitance and low extrinsic resistance.
  75. Krivokapic, Zoran; An, Judy Xilin; Buynoski, Matthew S., FinFET-based SRAM cell.
  76. Fried, David M.; Mann, Randy W.; Muller, K. Paul; Nowak, Edward J., Finfet SRAM cell using low mobility plane for cell stability and method for forming.
  77. Chenming Hu ; Tsu-Jae King ; Vivek Subramanian ; Leland Chang ; Xuejue Huang ; Yang-Kyu Choi ; Jakub Tadeusz Kedzierski ; Nick Lindert ; Jeffrey Bokor ; Wen-Chin Lee, Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture.
  78. Anderson,Brent A.; Bryant,Andres; Nowak,Edward J., Finfet/trigate stress-memorization method.
  79. Yu,Bin; Lin,Ming Ren; Dakshina Murthy,Srikanteswara; Krivokapic,Zoran, Flash memory device.
  80. Beltram Fabio (Jersey City NJ) Capasso Federico (Westfield NJ) Malik Roger J. (Summit NJ) Shah Nitin J. (Scotch Plains NJ), Floating gate memories.
  81. Pan, James; Besser, Paul; Woo, Christy Mei-Chu; Ngo, Minh Van; Yin, Jinsong, Gate dielectric quality for replacement metal gate transistors.
  82. Visokay,Mark R.; Rotondaro,Antonio L. P.; Colombo,Luigi, Gate structure and method.
  83. Park, Dae-Gyu; Cho, Heung-Jae, Gate structure with high K dielectric.
  84. Thomas Skotnicki FR; Malgorzata Jurczak FR, Gate-all-around semiconductor device and process for fabricating the same.
  85. Hoffman,Thomas; Cea,Stephen M.; Giles,Martin D., Gate-induced strain for MOS performance improvement.
  86. Shinohara Toshiro (Yokosuka JPX) Hoshi Masakatsu (Yokohama JPX) Mihara Teruyoshi (Yokosuka JPX), Groove-type semiconductor device.
  87. Morkoc Hadis (Urbana IL) Fischer Russ (Urbana IL), Heterojunction semiconductor device with <001>tilt.
  88. Nowak, Edward J.; Rainey, BethAnn, High mobility crystalline planes in double-gate CMOS technology.
  89. Mocuta, Anda C.; Ieong, Meikei; Amos, Ricky S.; Boyd, Diane C.; Mocuta, Dan M.; Chen, Huajie, High performance CMOS device structure with mid-gap metal gate.
  90. Divakaruni, Rama; Hsu, Louis C.; Joshi, Rajiv V.; Radens, Carl J., High performance FET with elevated source/drain region.
  91. Nowak, Edward J.; Rainey, BethAnn, High-density split-gate FinFET.
  92. Doris,Bruce B.; Ieong,Meikei; Nowak,Edward J.; Yang,Min, Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs.
  93. Grupp,Daniel E.; Connelly,Daniel J., Insulated gate field effect transistor having passivated Schottky barriers to the channel.
  94. Yoshimi Makoto (Tokyo JPX) Inaba Satoshi (Tokyo JPX) Murakoshi Atsushi (Tokyo JPX) Terauchi Mamoru (Tokyo JPX) Shigyo Naoyuki (Tokyo JPX) Matsushita Yoshiaki (Tokyo JPX) Aoki Masami (Tokyo JPX) Hamam, Insulated-gate transistor having narrow-bandgap-source.
  95. Chao Chih-Ping ; Chen Ih-Chin ; Wise Rick L. ; Violette Katherine E. ; Unnikrishnan Sreenath, Integrated circuit and method.
  96. Li,Ming, Integrated circuit devices including an L-shaped depletion barrier layer adjacent opposite sides of a gate pattern and methods of forming the same.
  97. Fitch Jon T. (Austin TX) Venkatesan Suresh (Austin TX) Witek Keith E. (Austin TX), Integrated circuit having both vertical and horizontal devices and process for making the same.
  98. Mathew, Leo; Muralidhar, Ramachandran, Integrated circuit having multiple memory types and method of formation.
  99. Yu Bin ; Lin Ming-Ren, Integrated circuit having transistors with different threshold voltages.
  100. Chinn, Jeffrey D.; Guenther, Rolf A.; Rattner, Michael B.; Cooper, James A.; Leung, Toi Yue Becky; Bjorkman, Claes H., Integrated method for release and passivation of MEMS structures.
  101. Seabaugh Alan C. (Richardson TX), Integration of lateral and vertical quantum well transistors in the same epitaxial stack.
  102. Chao Fung-Ching (Tainan Shih TWX), Inverse-T LDDFET with self-aligned silicide.
  103. Ando, Yoshiyuki, Ion implantation methods and transistor cell layout for fin type transistors.
  104. Yu Chen-Hua,TWX, Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluor.
  105. Madan Sudhir K. (Dallas TX), Local interconnect for stacked polysilicon device.
  106. Mandelman, Jack A.; Gan, Dong; Lam, Chung H., Local interconnect junction on insulator (JOI) structure.
  107. Deleonibus, Simon; Guegan, Georges; Caillat, Christian; Coudert, Fabien, MIS transistor and method for making same on a semiconductor substrate.
  108. Shirasaki Masahiro (Kawasaki JPX), MIS transistor structure for increasing conductance between source and drain regions.
  109. Gotou Hiroshi (Zama JPX), MOS FET having a thin film SOI structure.
  110. Doris, Bruce B.; Dokumaci, Omer H.; Mandelman, Jack A.; Radens, Carl J., MOS transistor.
  111. Bae,Geum Jong; Lee,Nae In; Rhee,Hwa Sung; Kim,Sang Su; Lee,Jung II, MOS transistor having a T-shaped gate electrode.
  112. Yagishita Atsushi,JPX ; Matsuo Kouji,JPX, MOS transistor having a tensile-strained SI layer and a compressive-strained SI-GE layer.
  113. Hsu Louis L. C. ; Radens Carl J., MOS transistors structure for reducing the size of pitch limited circuits.
  114. Noble ; Jr Wendell P. (Milton VT) Ghatalia Ashwin K. (Hopewell Junction NY) El-Kareh Badih (Hopewell Junction NY), MOSFET with raised STI isolation self-aligned to the gate stack.
  115. Partin Dale Lee, Magnetic field sensor having high mobility thin indium antimonide active layer on thin aluminum indium antimonide buffer.
  116. Gotou Hiroshi (Niiza JPX), Manufacturing method for semiconductor device.
  117. Tada Yoshihide (Chiba JPX) Kunitomo Hiroyasu (Chiba JPX), Manufacturing method for semiconductor devices with source/drain formed in substrate projection..
  118. Ohuchi,Masahiko, Manufacturing method of semiconductor device.
  119. Arai,Nobutoshi; Iwata,Hiroshi; Kakimoto,Seizo, Memory function body, particle forming method therefor and, memory device, semiconductor device, and electronic equipment having the memory function body.
  120. Chang,Peter L. D., Memory with split gate devices and method of fabrication.
  121. Jonker B. T. ; Glembocki O. J. ; Holm R. T., Metal passivating layer for III-V semiconductors, and improved gate contact for III-V-based metal-insulator-semiconductor (MIS) devices.
  122. Deleonibus, Simon, Metal source and drain mos transistor.
  123. Datta, Suman; Doyle, Brian S.; Chau, Robert S.; Kavalieros, Jack; Zheng, Bo; Hareland, Scott A., Method and apparatus for improving stability of a 6T CMOS SRAM cell.
  124. Wozniak,Gregg; Lamb,David; Le,Dung, Method and arrangement for aircraft fuel dispersion.
  125. Mizushima, Kazutoshi; Miura, Nakaji; Sekine, Yasuhiro; Suzuki, Makoto; Tomii, Kazuya, Method for apparatus for polishing outer peripheral chamfered part of wafer.
  126. Mathew,Leo; Min,Byoung W., Method for converting a planar transistor design to a vertical double gate transistor design.
  127. Chung, Henry, Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution.
  128. Lee,Hsin Hui; Huang,Chien Chao; Wang,Chao Hsiung; Yang,Fu Liang; Hu,Chenming, Method for dicing semiconductor wafers.
  129. Sun-wung Lee KR; Jae-phil Boo KR; Kyung-hyun Kim KR; Chang-ki Hong KR, Method for fabricating MOS transistor using selective silicide process.
  130. Aminzadeh Payman ; Arghavani Reza ; Moon Peter, Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxi.
  131. Fried, David M.; Nowak, Edward J., Method for fabricating multiple-plane FinFET CMOS.
  132. Pei,Gen, Method for fabricating stress enhanced MOS circuits.
  133. Lin Horng-Chih (Hsinchu TWX) Chen Liang-Po (Hsinchu TWX) Lin Hsiao-Yi (Hualien Hsien TWX) Chang Chun-Yen (Hsinchu TWX), Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration.
  134. Pham, Daniel T.; Barr, Alexander L.; Mathew, Leo; Nguyen, Bich-Yen; Vandooren, Anne M.; White, Ted R., Method for forming a double-gated semiconductor device.
  135. Yang, Chih-Yuh; Ahmed, Shibly S.; Dakshina-Murthy, Srikanteswara; Tabery, Cyrus E.; Wang, Haihong; Yu, Bin, Method for forming a fin in a finFET device.
  136. Yu, Bin; Wang, Haihong, Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device.
  137. Haskell Jacob ; Sethi Satyendra ; Gabriel Calvin Todd, Method for forming a reduced width gate electrode.
  138. Yeh Wen-Kuan,TWX ; Lin Tony,TWX, Method for forming a semiconductor device by using reverse-offset spacer process.
  139. Chang,Chich Shang; Lee,Chi Shen; Huang,Shun Fa; Chang,Jung Fang; Hu,Wen Chih; Wang,Liang Tang; Sheu,Chai Yuan, Method for forming a single-crystal silicon layer on a transparent substrate.
  140. Yu,Bin; Ahmed,Shibly S., Method for forming a tri-gate MOSFET.
  141. Nowak, Edward J., Method for forming asymmetric dual gate transistor.
  142. Buynoski, Matthew S.; An, Judy Xilin; Yu, Bin, Method for forming channels in a finfet device.
  143. Mukai Mikio,JPX, Method for forming field effect transistor having multiple gate electrodes surrounding the channel region.
  144. Buynoski, Matthew S.; Dakshina-Murthy, Srikanteswara; Tabery, Cyrus E.; Wang, Haihong; Yang, Chih-Yuh; Yu, Bin, Method for forming fins in a FinFET device using sacrificial carbon layer.
  145. Yu, Bin; An, Judy Xilin; Tabery, Cyrus E.; Wang, Haihong, Method for forming multiple structures in a semiconductor device.
  146. Park,Jeong Ho, Method for forming short-channel transistors.
  147. Schoenfeld, Aaron, Method for implementing selected functionality on an integrated circuit device.
  148. Krivokapic Zoran ; Krishnan Srinath ; Yeap Geoffrey Choh-Fei ; Buynoski Matthew, Method for increasing gate capacitance by using both high and low dielectric gate material.
  149. Liang Chunlin ; Bai Gang, Method for making a complementary metal gate electrode technology.
  150. Rhee Taepok (Seoul KRX), Method for making a semiconductor device having a silicon-on-insulator structure.
  151. Krivokapic, Zoran; Cherian, Sunny; Holbrook, Allison, Method for making an ultra thin FDSOI device with improved short-channel performance.
  152. Solomon Paul Michael ; Wong Hon-Sum Philip, Method for making single and double gate field effect transistors with sidewall source-drain contacts.
  153. Shigyo Naoyuki,JPX ; Enda Toshiyuki,JPX, Method for manufacturing a semiconductor device with ion implantation.
  154. Mariani,Marcello; Beghin,Lorena, Method for manufacturing semiconductor integrated circuit structures.
  155. Yang Hae Chang,KRX, Method for manufacturing thin film transistor.
  156. Shih Hung-Dah (Plano TX), Method for p-type doping of semiconductor structures formed of group II and group VI elements.
  157. Okaniwa Kazuhiro,JPX, Method for producing semiconductor device with heat dissipation structure.
  158. Yamanaka Chie (Yokohama JPX) Ichinose Toshiaki (Yokohama JPX) Ninomiya Takanori (Hiratsuka JPX) Iwata Hisafumi (Yokohama JPX) Nakagawa Yasuo (Chigasaki JPX) Akiyama Nobuyuki (Yokohama JPX), Method for producing thin film multilayer substrate, and method and apparatus for detecting circuit conductor pattern of.
  159. Lowrey Tyler A. (Boise ID) Chance Randal W. (Boise ID) Cathey David A. (Boise ID), Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process.
  160. Hintermaier Frank,DEX ; Hendrix Bryan ; Roeder Jeff ; Buskirk Peter Van ; Baum Thomas H., Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition.
  161. Schaeffer,James K.; Roan,Darrell; Triyoso,Dina H.; Adetutu,Olubunmi O., Method for treating a semiconductor surface to form a metal-containing layer.
  162. Miller Alan J. ; Vahedi Vahid, Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features.
  163. Jen-Jiann Chiou TW; Shin-Yi Tsai TW, Method of etching semiconductor metallic layer.
  164. Wu Shye-Lin,TWX, Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts.
  165. Chao Fung-Ching (Tainan Shih TWX), Method of fabricating a LDDFET with self-aligned silicide.
  166. Chiu, Hsien-Kuang; Chen, Fang-Cheng; Chen, Haur-Ywh; Tao, Hun-Jan; Chiu, Yuan-Hung, Method of fabricating a MOSFET device with metal containing gate structures.
  167. Liao, Wen-Shiang; Shiau, Wei-Tsun, Method of fabricating a double gate MOSFET device.
  168. Kuo-Tai Huang TW, Method of fabricating a dual metal gate having two different gate dielectric layers.
  169. Zhu,Huilong; Gluschenkov,Oleg; Sung,Chun Yung, Method of fabricating a field effect transistor having improved junctions.
  170. Bin Yu, Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed.
  171. Ha Hyoung C. (Kwangmyungsi KRX), Method of fabricating a thin film transistor having vertical channel.
  172. Anthony, Thomas C., Method of fabricating high density sub-lithographic features on a substrate.
  173. Kang, Tae Woong; Ahn, Jong hyon, Method of fabricating multi-gate transistor and multi-gate transistor fabricated thereby.
  174. Bin Yu ; William G. En ; Judy Xilin An ; Concetta E. Riccobene, Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer.
  175. Hidehiko, Shiraiwa; Halliyal, Arvind; Park, Jaeyong, Method of formation of semiconductor resistant to hot carrier injection stress.
  176. Kubo Minoru,JPX ; Nozawa Katsuya,JPX ; Suzuki Masakatsu,JPX ; Uenoyama Takeshi,JPX ; Kumabuchi Yasuhito,JPX, Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer.
  177. Rodder Mark S. ; Chapman Richard A., Method of forming a MOSFET using a disposable gate and raised source and drain.
  178. Rodder Mark S., Method of forming a MOSFET using a disposable gate with a sidewall dielectric.
  179. Horng-Huei Tseng TW, Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit.
  180. Bin Yu, Method of forming a double gate transistor having an epitaxial silicon/germanium channel region.
  181. Hanafi, Hussein I.; Boyd, Diane C.; Chan, Kevin K.; Natzle, Wesley; Shi, Leathen, Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region.
  182. Ko,Chih Hsin; Ke,Chung Hu; Huang,Chien Chao, Method of forming a shallow trench isolation structure.
  183. Chau Robert S. ; Jan Chia-Hong ; Packan Paul ; Taylor Mitchell C., Method of forming a transistor.
  184. Chatterjee Amitava ; Lee Wei William ; Hames Greg A. ; He Quzhi ; Ali Iqbal ; Hanratty Maureen A., Method of forming a transistor having an improved sidewall gate structure.
  185. Wu, Chung Cheng; Wu, Shye-Lin, Method of forming an N channel and P channel FINFET device on the same semiconductor substrate.
  186. Okamoto Chikayuki (Hyogo JPX) Nishioka Tadashi (Hyogo JPX) Kawazu Satoru (Hyogo JPX), Method of forming fine patterns.
  187. Chuang Shu-Ya,TWX, Method of forming self-aligned DRAM cell.
  188. Song, Seung-Heon, Method of forming semiconductor device having a GAA type transistor.
  189. Tseng Horng-Huei,TWX, Method of forming shallow trench isolation.
  190. Yen Ching-Lang,TWX ; Lin Chingfu,TWX, Method of forming shallow trench isolation structure.
  191. Djomehri, Ihsan J.; Goo, Jung-Suk; Krishnan, Srinath; Maszara, Witold P.; Pan, James N.; Xiang, Qi, Method of growing as a channel region to reduce source/drain junction capacitance.
  192. Shin, Jin Koog; Kim, Kyu Tae; Jung, Min Jae; Yoon, Sang Soo; Han, Young Soo; Lee, Jae Eun, Method of horizontally growing carbon nanotubes and field effect transistor using the carbon nanotubes grown by the method.
  193. Gardner Mark I. ; Nguyen Thien T. ; May Charles E., Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions.
  194. Krivokapic, Zoran; Buynoski, Matthew, Method of making a self-aligned triple gate silicon-on-insulator device.
  195. Maegawa Shigeto (Itami JPX), Method of making a semiconductor device having a gate all around type of thin film transistor.
  196. Andideh, Ebrahim, Method of making a semiconductor device using a silicon carbide hard mask.
  197. Choi Jong Moon,KRX ; Kim Jong Kwan,KRX, Method of making a thin film transistor.
  198. Koh Risho (Tokyo JPX), Method of making a transistor having easily controllable impurity profile.
  199. Pan Yang (Singapore SGX), Method of making self-aligned halo process for reducing junction capacitance.
  200. Chidambarrao,Dureseti; Dokumaci,Omer, Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby.
  201. Wu Shye-Lin,TWX, Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs.
  202. Montree Andreas H.,NLX ; Schmitz Jurriaan,NLX ; Woerlee Pierre H.,NLX, Method of manufacturing a nonvolatile memory.
  203. Hisamoto Dai (Kokubunji JPX) Kaga Toru (Urawa JPX) Kimura Shinichiro (Hachioji JPX) Moniwa Masahiro (Hannou JPX) Tanaka Haruhiko (Kokubunji JPX) Hiraiwa Atsushi (Kodaira JPX) Takeda Eiji (Koganei JPX, Method of manufacturing a semiconductor device having silicon islands.
  204. Kyoichi Suguro JP; Kouji Matsuo JP; Atsushi Murakoshi JP; Yasuhiko Sato JP; Hiromi Niiyama JP, Method of manufacturing a semiconductor device using a polymer film pattern.
  205. Inumiya Seiji,JPX ; Saito Tomohiro,JPX ; Yagishita Atsushi,JPX ; Hieda Katsuhiko,JPX ; Iinuma Toshihiko,JPX, Method of manufacturing a semiconductor device which includes forming a dummy gate.
  206. Sugiyama, Naoharu; Kurobe, Atsushi; Tezuka, Tsutomu; Mizuno, Tomohisa; Takagi, Shinichi, Method of manufacturing a substrate using an SiGe layer.
  207. Cha, Tae Ho; Jang, Se Aug; Kim, Tae Kyun; Park, Dea Gyu; Yeo, In Seok; Park, Jin Won, Method of manufacturing a transistor in a semiconductor device.
  208. Choi Sangsoo (Chungnam KRX), Method of patterning fine line width semiconductor topology using a spacer.
  209. Zhang, Hongyong; Takayama, Toru; Takemura, Yasuhiko; Miyanaga, Akiharu; Ohtani, Hisashi; Takeyama, Junichi, Method of preparing a semiconductor having controlled crystal orientation.
  210. Rao Annapragada, Method of preventing damage to organo-silicate-glass materials during resist stripping.
  211. Hirai Yoshihiko (Osaka JPX) Morimoto Kiyoshi (Neyagawa JPX) Terui Yasuaki (Neyagawa JPX) Niwa Masaaki (Hirakata JPX) Yasui Juro (Toyonaka JPX) Okada Kenji (Suita JPX) Udagawa Masaharu (Tokyo JPX) Yuk, Method of producing electrically insulated silicon structure.
  212. Hata William Y., Method of producing stepped wall interconnects and gates.
  213. Brask,Justin K., Method of varying etch selectivities of a film.
  214. Dennard,Robert H.; Haensch,Wilfried E.; Hanafi,Hussein I., Method to control device threshold of SOI MOSFET's.
  215. Ahmed, Shibly S.; Tabery, Cyrus E.; Wang, Haihong; Yu, Bin, Method using planarizing gate material to improve gate critical dimension in semiconductor devices.
  216. Leonard Forbes ; Wendell P. Noble, Methods for dual-gated transistors.
  217. Cheong, Kong-Soo; Kang, Hee-Sung, Methods for fabricating MOS transistors with notched gate electrodes.
  218. Lee,Deok Hyung; Choi,Si Young; Lee,Byeong Chan; Son,Yong Hoon; Jung,In Soo, Methods for fabricating fin field effect transistors using a protective layer to reduce etching damage.
  219. Oh,Chang Woo; Park,Dong Gun; Kim,Dong Won; Lee,Yong Kyu, Methods of forming fin field effect transistors using oxidation barrier layers.
  220. Lochtefeld,Anthony J.; Langdo,Thomas A.; Hammond,Richard; Currie,Matthew T.; Braithwaite,Glyn; Fitzgerald,Eugene A., Methods of forming strained-semiconductor-on-insulator finFET device structures.
  221. Aller, Ingo; Keinert, Joachim; Ludwig, Thomas; Nowak, Edward J.; Rainey, BethAnn, Multi-height FinFETS.
  222. Lee,Deok Hyung; Lee,Byeong Chan; Jung,In Soo; Son,Yong Hoon; Choi,Siyoung; Kim,Taek Jung, Multi-structured Si-fin.
  223. Yu Bin, Multiple threshold voltage transistor implemented by a damascene process.
  224. Efland Taylor R. ; Cotton David ; Skelton Dale J., Multiple transistor integrated circuit with thick copper interconnect.
  225. Chambers, James Joseph, Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same.
  226. Yeo,Yee Chia; Yang,Fu Liang; Hu,Chenming, Multiple-gate transistors formed on bulk substrates.
  227. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Multiple-gate transistors with improved gate control.
  228. Fried, David M.; Nowak, Edward J., Multiple-plane FinFET CMOS.
  229. Rios, Rafael; Doyle, Brian S.; Linton, Jr., Thomas D.; Kavalieros, Jack, N-gate transistor.
  230. Chapman Richard A. (Dallas TX) Buss Dennis D. (Richardson TX) Kinch Michael A. (Dallas TX), Narrow band-gap semiconductor CCD imaging device and method of fabrication.
  231. Krivokapic, Zoran; An, Judy Xilin; Dakshina-Murthy, Srikanteswara; Wang, Haihong; Yu, Bin, Narrow fin FinFET.
  232. Doyle,Brian S; Datta,Suman; Jin,Been Yih; Zelick,Nancy M; Chau,Robert, Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow.
  233. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman, Nonplanar device with stress incorporation layer and method of fabrication.
  234. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Nonplanar device with stress incorporation layer and method of fabrication.
  235. Hareland,Scott A.; Chau,Robert S.; Doyle,Brian S.; Datta,Suman; Jin,Been Yih, Nonplanar device with stress incorporation layer and method of fabrication.
  236. Hareland,Scott A.; Chau,Robert S.; Doyle,Brian S.; Rios,Rafael; Linton,Tom; Datta,Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  237. Brask,Justin K.; Doyle,Brian S.; Doczy,Mark L.; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  238. Brask,Justin K.; Doyle,Brian S.; Doczy,Mark L.; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  239. Watanabe Hiroshi,JPX ; Shimizu Kazuhiro,JPX ; Takeuchi Yuji,JPX ; Aritome Seiichi,JPX, Nonvolatile semiconductor memory device.
  240. Seong-soo Lee KR; Jae-seung Hwang KR, Nonvolatile semiconductor memory device and manufacturing method thereof.
  241. Chakrabarti Utpal Kumar ; Hamm Robert Alan ; Seiler Joseph Brian ; Shtengel Gleb E. ; Smith Lawrence Edwin, Optical device including carbon-doped contact layers.
  242. Chang, Ming-Ching, Oxidation process to improve polysilicon sidewall roughness.
  243. Nottenburg Richard N. (New York NY) Sandroff Claude J. (Tinton Falls NJ), Passivation of gallium arsenide devices with sodium sulfide.
  244. Lee Hong H. (9221 NW. 9th Ave. Gainesville FL 32606) Lee Sang H. (6519 Newberry Rd. ; #914 Gainesville FL 92605), Passivation of group III-V surfaces.
  245. Muller K. Paul L. ; Nowak Edward J. ; Wong Hon-Sum P., Planarized silicon fin device.
  246. Shenai Khatkhate,Deodatta Vinayak, Preparation of group IVA and group VIA compounds.
  247. Natzle, Wesley C.; Doris, Bruce B.; Deshpande, Sadanand V.; Mo, Renee T.; O'Neil, Patricia A., Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer.
  248. Hsu Chen-Chung (Taichung TWX), Process for fabricating a recessed gate MOS device.
  249. Manning Monte (Kuna ID) Cole Steve V. (Boise ID) Lowrey Tyler A. (Boise ID), Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects.
  250. Bin Yu, Process for forming multiple active lines and gate-all-around MOSFET.
  251. Kavalieros,Jack T.; Brask,Justin K.; Doyle,Brian S.; Shah,Uday; Datta,Suman; Doczy,Mark L.; Metz,Matthew V.; Chau,Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  252. Gonzalez, Fernando; Mouli, Chandra; Jones, Lyle, Process for making a silicon-on-insulator ledge by implanting ions from silicon source.
  253. Bin Yu, Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric.
  254. Nissim Yves (57 Quai de Dion Bouton 92800 Puteaux FRX) Bensoussan Marcel (881 Cours Aquitaine 92100 Boulogne FRX), Process for the heat flash vapour phase deposition of an insulating layer on a III-V material substrate and its applicat.
  255. Beintner,Jochen C.; Chidambarrao,Dureseti; Li,Yujun; Settlemyer, Jr.,Kenneth T., Pull-back method of forming fins in FinFets.
  256. Yuki Koichiro,JPX ; Hirai Yoshihiko,JPX ; Morimoto Kiyoshi,JPX ; Niwa Masaaki,JPX ; Yasui Juro,JPX ; Okada Kenji,JPX ; Udagawa Masaharu,JPX ; Morita Kiyoyuki,JPX, Quantization functional device utilizing a resonance tunneling effect and method for producing the same.
  257. Inaki, Kyoichi; Watanabe, Naoto; Segawa, Tohru; Kimura, Hiroyuki, Quartz glass jig for processing apparatus using plasma.
  258. Jean Pierre Colinge ; Carlos H. Diaz, Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same.
  259. Gomi Masatoshi (Tokyo JPX) Harada Toshitaro (Tokyo JPX), Radio base station capable of distinguishing between interference due to collisions of outgoing call signals and an exte.
  260. Wang,Haihong; Ahmed,Shibly S.; Lin,Ming Ren; Yu,Bin, Reversed T-shaped FinFET.
  261. Horie Hiroshi (Kawasaki JPX), SOI device and a fabrication process thereof.
  262. Krivokapic, Zoran; Xiang, Qi; Yu, Bin, SOI device with metal source/drain and method of fabrication.
  263. Qi Xiang, SOI device with self-aligned selective damage implant, and method.
  264. Myrick James J. (748 Greenwood Glencoe IL 60022), SOI methods and apparatus.
  265. Gaul Stephen J. (Melbourne FL) Rouse George V. (Indialantic FL), SOI wafer with sige.
  266. Ahmed,Shibly S.; Yu,Bin, Sacrificial oxide for minimizing box undercut in damascene FinFET.
  267. Pey Kin-Leong,SGX ; Siah Soh-Yun,SGX, Salicide formation on narrow poly lines by pulling back of spacer.
  268. Jen-Shiang Leu TW, Self-aligned contact with improved isolation and method for forming.
  269. Fried, David M.; Hoague, Timothy J.; Nowak, Edward J.; Rankin, Jed H., Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same.
  270. Fried, David M.; Hoague, Timothy J.; Nowak, Edward J.; Rankin, Jed H., Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same.
  271. Zoran Krivokapic ; Matthew Buynoski, Self-aligned double gate silicon-on-insulator (SOI) device.
  272. Cabral, Jr., Cyril; Chan, Kevin K.; Cohen, Guy Moshe; Guarini, Kathryn Wilder; Lavoie, Christian; Solomon, Paul Michael; Zhang, Ying, Self-aligned silicide process for silicon sidewall source and drain contacts.
  273. McLevige William V. (Plano TX), Self-aligned transistor method.
  274. Kiyotaka Miyano JP; Ichiro Mizushima JP; Yoshitaka Tsunashima JP; Tomohiro Saito JP, Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor.
  275. Kudo Makoto (Hachiouji JPX) Mishima Tomoyoshi (Shiki JPX) Tanimoto Takuma (Kokubunji JPX) Sagawa Misuzu (Kokubunji JPX), Semiconductor crystalline laminate structure, forming method of the same, and semiconductor device employing the same.
  276. Sugihara, Kohei; Oishi, Toshiyuki; Miura, Naruhisa; Abe, Yuji; Tokuda, Yasunori, Semiconductor device.
  277. Sugiyama, Naoharu; Tezuka, Tsutomu; Mizuno, Tomohisa; Takagi, Shinichi, Semiconductor device.
  278. Nakamura, Shunji, Semiconductor device and method for fabricating the same.
  279. Burroughes Jeremy H. (Cambridge GBX) Arnone Donald D. (Cambridge GBX), Semiconductor device and method for its manufacture.
  280. Inumiya Seiji,JPX ; Hieda Katsuhiko,JPX ; Matsuda Tetsuo,JPX ; Ozawa Yoshio,JPX, Semiconductor device and method for manufacturing same.
  281. Yamagami, Shigeharu; Wakabayashi, Hitoshi; Takeuchi, Kiyoshi; Ogura, Atsushi; Tanaka, Masayasu; Nomura, Masahiro; Takeda, Koichi; Tatsumi, Toru; Watanabe, Koji; Terashima, Koichi, Semiconductor device and method for manufacturing same.
  282. Yamazaki, Shunpei; Asami, Taketomi; Takayama, Toru; Kawasaki, Ritsuko; Adachi, Hiroki; Sakamoto, Naoya; Hayakawa, Masahiko; Shibata, Hiroshi; Arai, Yasuyuki, Semiconductor device and method of fabricating the same.
  283. Maeda, Shigenobu; Maegawa, Shigeto; Matsumoto, Takuji, Semiconductor device and method of manufacturing same.
  284. Hitoshi Wakabayashi JP; Yukishige Saito JP, Semiconductor device and method of manufacturing the same.
  285. Nishinohara, Kazumi; Akasaka, Yasushi; Suguro, Kyoichi, Semiconductor device and method of manufacturing the same.
  286. Horiuchi, Masatada, Semiconductor device and method of producing the same.
  287. Hieda Katsuhiko (Yokohama JPX) Horiguchi Fumio (Tokyo JPX) Takato Hiroshi (Kawasaki JPX) Masuoka Fujio (Yokohama JPX), Semiconductor device and process for manufacturing the same.
  288. Smith, Bradley P.; Travis, Edward O., Semiconductor device for reducing photovolatic current.
  289. Iwamatsu Toshiaki,JPX ; Yamaguchi Yasuo,JPX ; Maeda Shigenobu,JPX ; Miyamoto Shoichi,JPX ; Furukawa Akihiko,JPX ; Inoue Yasuo,JPX, Semiconductor device formed on insulating layer and method of manufacturing the same.
  290. Inaba, Satoshi; Ohuchi, Kazuya, Semiconductor device having MIS field effect transistors or three-dimensional structure.
  291. Yu, Bin; Ahmed, Shibly S.; An, Judy Xilin; Dakshina-Murthy, Srikanteswara; Krivokapic, Zoran; Wang, Haihong, Semiconductor device having a U-shaped gate structure.
  292. Takeuchi, Kiyoshi; Terashima, Koichi; Wakabayashi, Hitoshi; Yamagami, Shigeharu; Ogura, Atsushi; Tanaka, Masayasu; Nomura, Masahiro; Takeda, Koichi; Tatsumi, Toru; Watanabe, Koji, Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same.
  293. Seddon Kenneth M. ; Grynkewich Gregory W. ; Ilderem Vida ; Denton Heidi L. ; Pearse Jeffrey, Semiconductor device having a phosphorus doped PECVD film and a method of manufacture.
  294. Mizuno Tomohisa,JPX ; Ushiku Yukihiro,JPX ; Yoshimi Makoto,JPX ; Terauchi Mamoru,JPX ; Kawanaka Shigeru,JPX, Semiconductor device having a projecting element region.
  295. Maegawa, Shigeto; Ipposhi, Takashi; Iwamatsu, Toshiaki, Semiconductor device having a thin film transistor and manufacturing method thereof.
  296. Yuzurihara Hiroshi (Isehara JPX) Miyawaki Mamoru (Tokyo JPX) Ishizaki Akira (Atsugi JPX) Momma Genzo (Hiratsuka JPX) Kochi Tetsunobu (Hiratsuka JPX), Semiconductor device having an insulated gate transistor.
  297. Kawashima Ikue,JPX, Semiconductor device having opposite-polarity region under channel.
  298. Shimizu,Akihiro; Ooki,Nagatoshi; Nonaka,Yusuke; Ichinose,Katsuhiko, Semiconductor device including stress inducing films formed over n-channel and p-channel field effect transistors and a method of manufacturing the same.
  299. Shigyo Naoyuki,JPX ; Enda Toshiyuki,JPX, Semiconductor device of a silicon on insulator metal-insulator type with a concave feature.
  300. Iwamatsu Toshiaki (c/o Mitsubishi Denki Kabushiki Kaisha ; ULSI Laboratory ; 1 Mizuhara 4-chome Itami-shi ; Hyogo 664 JPX) Inoue Yasuo (c/o Mitsubishi Denki Kabushiki Kaisha ; ULSI Laboratory ; 1 Miz, Semiconductor device on an SOI substrate.
  301. Oku Tomoki,JPX ; Yoshida Naohito,JPX ; Miyakuni Shinichi,JPX ; Shiga Toshihiko,JPX, Semiconductor device with refractory metal element.
  302. Shunsuke Inoue JP; Mamoru Miyawaki JP; Tetsunobu Kochi JP, Semiconductor device, and operating device, signal converter, and signal processing system using the same semiconductor device.
  303. Watanabe,Taketo; Nomura,Toshio; Kawai,Shinichi; Kawamata,Takayuki; Satoh,Shigeo, Semiconductor device, manufacturing method thereof, and CMOS integrated circuit device.
  304. Koichiro Ishibashi JP; Kenichi Osada JP, Semiconductor integrated circuit device.
  305. Ema Taiji (Kawasaki JPX) Itabashi Kazuo (Kawasaki JPX), Semiconductor memory device having thin film transistor and method of producing the same.
  306. Chen, Hao-Yu; Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Semiconductor nano-rod devices.
  307. Chen,Hung Wei; Yeo,Yee Chia; Lee,Di Hong; Yang,Fu Liang; Hu,Chenming, Semiconductor nano-wire devices and methods of fabrication.
  308. Murthy, Anand S.; Doyle, Brian S.; Roberds, Brian E., Semiconductor transistor having a backfilled channel material.
  309. Yeo, Yee-Chia; Chen, How-Yu; Huang, Chien-Chao; Lee, Wen-Chin; Yang, Fu-Liang; Hu, Chenming, Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors.
  310. Yeo,Yee Chia; Chen,How Yu; Huang,Chien Chao; Lee,Wen Chin; Yang,Fu Liang; Hu,Chenming, Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors.
  311. Snyder, John P., Short-channel schottky-barrier MOSFET device and manufacturing method.
  312. Sundaresan Ravishankar (Garland TX), Sidewall doping technique for SOI transistors.
  313. Lee Young Hoon (Somers NY) Milkove Keith Raymond (Beacon NY) Stiebritz ; Jr. John William (Somers NY), Silicon etching method.
  314. Bohr, Mark, Silicon on insulator (SOI) transistor and methods of fabrication.
  315. Yeo, Yee-Chia; Yang, Fu-Liang, Silicon-on-insulator chip with multiple crystal orientations.
  316. Maszara, Witold P., Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide.
  317. Almgren Carl W. (Austin TX), Slope etch of polyimide.
  318. Seaford Matthew L. ; Eyink Kurt G. ; Tomich David H. ; Lampert William V., Solid state magnetic field sensor method.
  319. Hirayama Teruo,JPX, Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate.
  320. Clark, William F.; Fried, David M.; Lanzerotti, Louis D.; Nowak, Edward J., Strained Fin FETs structure and method.
  321. Dakshina-Murthy, Srikanteswara; An, Judy Xilin; Krivokapic, Zoran; Wang, Haihong; Yu, Bin, Strained channel FinFET.
  322. Dakshina-Murthy, Srikanteswara; An, Judy Xilin; Krivokapic, Zoran; Wang, Haihong; Yu, Bin, Strained channel finfet.
  323. Clark, William F.; Fried, David M.; Lanzerotti, Louis D.; Nowak, Edward J., Strained fin FETs structure and method.
  324. Doris,Bruce B.; Chidambarrao,Dureseti; Ieong,MeiKei; Mandelman,Jack A., Strained finFET CMOS device structures.
  325. Chidambarrao, Dureseti; Leobandung, Effendi; Mocuta, Anda C.; Yang, Haining S.; Zhu, Huilong, Strained silicon NMOS devices with embedded source/drain.
  326. Lee,Jong Jan; Hsu,Sheng Teng; Tweet,Douglas J.; Maa,Jer Shen, Strained silicon fin structure.
  327. Lee,Jong Jan; Hsu,Sheng Teng; Tweet,Douglas J.; Maa,Jer Shen, Strained silicon finFET device.
  328. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Strained-channel multiple-gate transistor.
  329. Choi Jeong Yeol ; Chien Chung-Jen ; Han Chung Chyung ; Lien Chuen-Der, Structure for controlling threshold voltage of MOSFET.
  330. Chow David H., Superlattice fabrication for InAs/GaSb/AISb semiconductor structures.
  331. Coronel, Philippe; Monfray, Stephane; Skotnicki, Thomas, Surround-gate semiconductor device encapsulated in an insulating medium.
  332. Hill, Wiley Eugene; Ahmed, Shibly S.; Wang, Haihong; Yu, Bin, Systems and methods for forming dense n-channel and p-channel fins using shadow implanting.
  333. Morey, Ian J.; Ellingboe, Susan; Flanner, Janet M.; Janowiak, Christine M.; Lang, John, Technique for etching a low capacitance dielectric layer.
  334. Miyamoto Shoichi,JPX, Thin film transistor having a branched gate and channel.
  335. Kim Weonkeun (Incheon KRX) Kim Chulsoo (Kyungki-do KRX) Han Jeongin (Seoul KRX), Thin film transistor with three dimensional multichannel structure.
  336. Kang, Woo-Tag; Lee, Kil-Ho, Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer.
  337. Kwak, Byung Il; Ahn, Kyung Jun, Transistor of semiconductor device, and method for manufacturing the same.
  338. Li, Hong-Jyh; Chaudhary, Nirmal, Transistor with dopant-bearing metal in source and drain.
  339. Li,Hong Jyh, Transistor with silicon and carbon layer in the channel region.
  340. Connelly, Daniel J.; Faulkner, Carl; Grupp, Daniel E., Transistor with workfunction-induced charge layer.
  341. Chau, Robert S.; Doyle, Brian S.; Kavalieros, Jack; Barlage, Douglas; Datta, Suman; Hareland, Scott A., Tri-gate devices and methods of fabrication.
  342. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman, Tri-gate devices and methods of fabrication.
  343. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman; Hareland,Scott A., Tri-gate devices and methods of fabrication.
  344. Adam,Lahir Shaik; Breashears,Eddie H.; Tsao,Alwin J., Tri-gate low power device and method for manufacturing the same.
  345. Chau,Robert; Datta,Suman; Doyle,Brian S; Jin,Been Yih, Tri-gate transistors and methods to fabricate same.
  346. Zhu, Huilong; Tan, Yue, Triple gate and double gate finFETs with different vertical dimension fins.
  347. Reima Laaksonen ; Robert Kraft ; James B. Friedmann, Tunable gate linewidth reduction process.
  348. Doyle,Brian; Singh,Surinder; Shah,Uday; Brask,Justin; Chau,Robert, U-gate transistors and methods of fabrication.
  349. Gardner Mark I. ; Hause Fred N., Ultra short trench transistors and process for making same.
  350. Wang, Haihong; An, Judy Xilin; Yu, Bin, Uniformly doped source/drain junction in a double-gate MOSFET.
  351. Dennison, Charles H., Use of gate electrode workfunction to improve DRAM refresh.
  352. Gardner Mark I. ; Fulford ; Jr. H. Jim, Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate.
  353. Habermehl Scott D. ; Sniegowski Jeffry J., Use of silicon oxynitride as a sacrificial material for microelectromechanical devices.
  354. Lim Byung-hak,KRX, Vertical transistor and memory cell.
  355. Cecile Cougnard FR; Denis Roche FR, Video coding method and corresponding video coder.
  356. Shunsuke Andoh JP; Hirofumi Watanabe JP, Voltage generating circuit and reference voltage source circuit employing field effect transistors.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로