$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Cassette holder assembly for a substrate cassette and holding member for use in such assembly 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-023/32
  • H01L-021/673
  • H01L-021/677
출원번호 US-0673110 (2017-08-09)
등록번호 US-10249524 (2019-04-02)
발명자 / 주소
  • den Hartog Besselink, Edwin
  • Garssen, Adriaan
  • Dirkmaat, Marco
출원인 / 주소
  • ASM IP Holding B.V.
대리인 / 주소
    Snell & Wilmer L.L.P.
인용정보 피인용 횟수 : 0  인용 특허 : 1170

초록

The invention relates to a cassette holder assembly for holding a cassette for storing at least one semiconductor material substrate in an interior space accessible from a front end of the cassette. The cassette holder assembly may have a base plate for receiving the cassette. Two holding members su

대표청구항

1. A cassette holder assembly for holding a cassette for storing at least one semiconductor material substrate in an interior space accessible from a front end of the cassette, the cassette holder assembly comprising: a base plate for receiving the cassette; and,a right and a left holding member sup

이 특허에 인용된 특허 (1170)

  1. Ootsuka, Fumio, 3D stacked multilayer semiconductor memory using doped select transistor channel.
  2. Sneh, Ofer, ALD apparatus and method.
  3. Oohashi,Kaoru; Mizukami,Shunsuke; Ueda,Takehiro, Absorption board for an electric chuck used in semiconductor manufacture.
  4. Shankar N. Chandran ; Scott Hendrickson ; Gwendolyn D. Jones ; Shankar Venkataraman ; Ellie Yieh, Accelerated plasma clean.
  5. Bonora,Anthony C.; Hine,Roger G.; Nobles, Jr.,D. Wayne; Riley,Norma B., Active edge grip rest pad.
  6. Kinnard, David W.; Richardson, Daniel B., Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system.
  7. King, Sean; Klaus, Jason, Adhesion and electromigration performance at an interface between a dielectric and metal.
  8. Neu Steven K., Adjustable lockout device for knife gate valves.
  9. Edlinger Erich, Adjustable wafer cassette stand.
  10. Liu, Xinye; Collins, Joshua; Ashtiani, Kaihan A., Adsorption based material removal process.
  11. Fujimoto, Hitomi; Iuchi, Hiroaki; Tian, Ming; Maekawa, Daisuke, Air gap isolation in non-volatile memory using sacrificial films.
  12. Gates, Stephen M.; Huang, Elbert E.; Kioussis, Dimitri R.; Penny, Christopher J.; Priyadarshini, Deepika, Air gap semiconductor structure with selective cap bilayer.
  13. Swarup Shanti ; McCollum Gregory J. ; Singer Debra L., Aminoplast curable film-forming compositions providing films having resistance to acid etching.
  14. Lory Earl R. (Pennington NJ) Olmer Leonard J. (Austin TX), Anisotropic deposition of silicon dioxide.
  15. Kasai, Shigeru; Miyashita, Hiroyuki; Yoneda, Masatake; Suzuki, Tomohiro; Tanaka, Sumi; Nomura, Masamichi; Shimizu, Miwa, Annealing apparatus.
  16. Rotondaro, Antonio L. P.; Visokay, Mark R.; Colombo, Luigi, Annealing of high-k dielectric materials.
  17. Arai, Izumi, Anti-slip end effector for transporting workpiece using van der waals force.
  18. Tsuji, Naoto; Yamagishi, Takayuki, Anti-slip end-effector for transporting workpiece.
  19. Marquardt, David; Shugrue, John, Apparatus and method for calculating a wafer position in a processing chamber under process conditions.
  20. Carpenter,Craig M.; Mardian,Allen P.; Dando,Ross S.; Tschepen,Kimberly R.; Derderian,Garo J., Apparatus and method for depositing materials onto microelectronic workpieces.
  21. Kaszuba, Andrzei; Rocha-Alvarez, Juan Carlos; Baluja, Sanjeev; Cho, Tom K.; M'Saad, Hichem; Hendrickson, Scott A.; Ho, Dustin W.; Nowak, Thomas, Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors.
  22. Raaijmakers, Ivo, Apparatus and method for growth of a thin film.
  23. Park Jun Sig,KRX ; Kim Young Sun,KRX ; Kim Jung Ki,KRX, Apparatus and method for low pressure chemical vapor deposition using multiple chambers and vacuum pumps.
  24. Oosterlaken, Theodorus; de Ridder, Chris; Jdira, Lucian, Apparatus and method for manufacturing a semiconductor device.
  25. Jackson Scott C. (Wilmington DE) Rocheleau Richard E. (Wilmington DE), Apparatus and method for photochemical vapor deposition.
  26. Liu Yung S. (Schenectady NY) Grubb Willard T. (Schenectady NY), Apparatus and method for photoetching of polyimides, polycarbonates and polyetherimides.
  27. Heng-Yi Tseng TW; Guey-Shyung Cho TW, Apparatus and method for positioning gas injectors in a vertical furnace.
  28. Kamata, Keisuke; Sato, Hiroyuki; Furukawahara, Kazunori; Suwada, Masaei; Okubo, Kenkichi; Arai, Izumi, Apparatus and method for pre-baking substrate upstream of process chamber.
  29. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  30. Stanislaw Kopacz ; Douglas Arthur Webb ; Gerrit Jan Leusink ; Rene Emile LeBlanc ; Michael S. Ameen ; Joseph Todd Hillman ; Robert F. Foster ; Robert Clark Rowan, Jr., Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  31. Ishimaru Mikio, Apparatus and method for the manipulation of image containing documents.
  32. Kamiya, Tatsuo, Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum.
  33. Rocha Alvarez, Juan Carlos; Nowak, Thomas; Du Bois, Dale R.; Baluja, Sanjeev; Hendrickson, Scott A.; Ho, Dustin W.; Kaszuba, Andrzei; Cho, Tom K., Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors.
  34. Cekic, Miodrag; Geller, Boris, Apparatus and method providing substantially two-dimensionally uniform irradiation.
  35. Dimeo, Jr.,Frank; Chen,Philip S. H.; Neuner,Jeffrey W.; Welch,James; Stawacz,Michele; Baum,Thomas H.; King,Mackenzie E.; Chen,Ing Shin; Roeder,Jeffrey F., Apparatus and process for sensing fluoro species in semiconductor processing systems.
  36. Dimeo, Jr.,Frank; Chen,Philip S. H.; Neuner,Jeffrey W.; Welch,James; Stawasz,Michele; Baum,Thomas H.; King,Mackenzie E.; Chen,Ing Shin; Roeder,Jeffrey F., Apparatus and process for sensing fluoro species in semiconductor processing systems.
  37. Dimeo, Jr.,Frank; Chen,Philip S. H.; Neuner,Jeffrey W.; Welch,James; Stawasz,Michele; Baum,Thomas H.; King,Mackenzie E.; Chen,Ing Shin; Roeder,Jeffrey F., Apparatus and process for sensing fluoro species in semiconductor processing systems.
  38. Yoder Max N. (Falls Church VA), Apparatus for and a method of growing thin films of elemental semiconductors.
  39. Nakano Masao (Higashi-Sumiyoshi JPX) Mori Keiichi (Hyogo JPX) Hiraiwa Yoshitaka (Fukuoka JPX) Iizuka Shoji (Kita-Kyushu JPX) Shima Shozo (Chiba JPX) Nakamura Yukio (Chiba JPX), Apparatus for continuously measuring temperature of molten metal and method for making same.
  40. Liu, Benjamin Y. H.; Dinh, Thuc M.; Dick, William D.; Collins, Aaron M.; Romay, Francisco J., Apparatus for counting particles in a gas.
  41. Huang Yu Chih,TWX, Apparatus for detecting correct positioning of a wafer cassette.
  42. Gaff,Keith; Benjamin,Neil Martin Paul, Apparatus for determining a temperature of a substrate and methods therefor.
  43. Bahng, Kenneth J.; Davis, Matthew F.; Morey, Travis; Carducci, James D., Apparatus for efficient removal of halogen residues from etched substrates.
  44. Soininen Pekka,FIX ; Patteri Janne,FIX, Apparatus for growing thin films.
  45. Takahashi Mitsukazu (Kyoto JPX) Chiba Takatoshi (Kyoto JPX) Nishii Kiyofumi (Kyoto JPX), Apparatus for heat-treating wafer by light-irradiation and device for measuring temperature of substrate used in such ap.
  46. Mayusumi, Masanori; Imai, Masato; Inoue, Kazutoshi; Nakahara, Shinji; Gima, Shintoshi, Apparatus for manufacturing semiconductor wafer.
  47. Brooks Ray G. ; Brooks Timothy W. ; Fowler Stephen L., Apparatus for packaging contaminant-sensitive articles and resulting package.
  48. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Apparatus for performing growth of compound thin films.
  49. Motoda Takashi (Itami JPX) Karakida Shoichi (Itami JPX) Kaneno Nobuaki (Itami JPX) Kageyama Shigeki (Itami JPX), Apparatus for producing compound semiconductor devices.
  50. Ishikawa,Tetsuya; Demos,Alexandros T.; Cho,Seon Mee; Gao,Feng; Niazi,Kaveh F.; Aruga,Michio, Apparatus for reducing plasma charge damage for plasma processes.
  51. Wang David N. (Saratoga CA) Lei Lawrence C. (Cupertino CA) Chang Mei (Cupertino CA) Leung Cissy (Fremont CA), Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials.
  52. Fujimura Shuzo,JPX ; Shinagawa Keisuke,JPX ; Abe Naomichi,JPX, Apparatus for removing organic resist from semiconductor.
  53. Yap Hoon-Yeng (Mesa AZ) Babcock ; III Charles H. (Chandler AZ), Apparatus for securing an automatically loaded wafer cassette on a wafer processing equipment.
  54. Zhao Jun ; Sinha Ashok ; Tepman Avi ; Chang Mei ; Luo Lee ; Schreiber Alex ; Sajoto Talex ; Wolff Stefan ; Dornfest Charles ; Danek Michal, Apparatus for substrate processing with improved throughput and yield.
  55. Omstead, Thomas R.; Wongsenakhum, Panya; Messner, William J.; Nagy, Edward J.; Starks, William; Moslehi, Mehrdad M., Apparatus for supporting a substrate in a reaction chamber.
  56. Johnson Lester R. (411 Fourth St. Radford VA 24141), Apparatus for transferring semiconductor wafers.
  57. Lee, Bong-Ju; Yoo, Suk-Jae, Apparatus for treating the surface with neutral particle beams.
  58. Iida, Naruaki; Kajiwara, Hideki, Arm for wafer transportation for manufacturing semiconductor.
  59. Aarseth Finn,NOX, Arrangement in a pipe bundle.
  60. Robson David,GBX, Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element.
  61. Robson David,GBX, Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element.
  62. Fredrickson David Frank, Article lifter.
  63. Nguyen,Tue; Nguyen,Tai Dung; Bercaw,Craig Alan, Assembly line processing method.
  64. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  65. Derderian, Garo J.; Sandhu, Gurtej Singh, Atomic layer deposition and conversion.
  66. Hyun Kwang-Soo,KRX ; Park Kyung-ho,KRX ; Yoon Neung-goo,KRX ; Choi Kang-jun,KRX ; Jeong Soo-hong,KRX, Atomic layer deposition apparatus for depositing atomic layer on multiple substrates.
  67. Ueda, Shintaro, Atomic layer deposition for controlling vertical film growth.
  68. Ji, Hua; Chi, Min-Hwa; Mieno, Fumitake; Zhang, Sean Fuxiong, Atomic layer deposition method and semiconductor device formed by the same.
  69. Zimmerman, Paul; Caymax, Matty; De Gendt, Stefan; Delabie, Annelies; Ragnarsson, Lars Ake, Atomic layer deposition method for depositing a layer.
  70. Derderian, Garo J.; Meng, Shuang; Dynka, Danny, Atomic layer deposition method of depositing an oxide on a substrate.
  71. Derderian,Garo J.; Meng,Shuang; Dynka,Danny, Atomic layer deposition method of depositing an oxide on a substrate.
  72. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of ZrHfSnOfilms as high k gate dielectrics.
  73. Lee,Sang In; Owyang,Jon S.; Senzaki,Yoshihide; Helms, Jr.,Aubrey L.; Kapkin,Karem, Atomic layer deposition of hafnium-based high-k dielectric.
  74. Kilpela, Olli; Saanila, Ville; Li, Wei-Min; Elers, Kai-Erik; Kostamo, Juhana; Raaijmakers, Ivo; Granneman, Ernst, Atomic layer deposition reactor.
  75. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  76. Yoder Max N. (Falls Church VA), Atomic layer etching.
  77. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  78. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  79. Hsu, Liang-Hua; Johnson-Laird, Russell Eric Benjamin, Automatic capturing of hyperlink specifications for multimedia documents.
  80. Elmer Arthur E. H. (Stroud GB2), Automatic control valves.
  81. Sotomayor Bernardo Rafael, Automatic summary page creation and hyperlink generation.
  82. Cadwell, Tom L.; Sklyar, Michael, Baffled liner cover.
  83. Rozbicki,Robert; Danek,Michal, Barrier first method for single damascene trench applications.
  84. Brenes Arthur, Bellows driver slot valve.
  85. Uppstrom, Leif R., Building elements.
  86. Zhao Jun (Milpitas CA) Cho Tom (San Francisco CA) Dornfest Charles (Fremont CA) Wolff Stefan (Sunnyvale CA) Fairbairn Kevin (Saratoga CA) Guo Xin S (Mountain View CA) Schreiber Alex (Santa Clara CA) , CVD Processing chamber.
  87. Lang, Chi I; Huang, Judy H.; Barnes, Michael; Shanker, Sunil, CVD flowable gap fill.
  88. Lang, Chi-I; Huang, Judy H.; Barnes, Michael; Shanker, Sunil, CVD flowable gap fill.
  89. Wang, Feng; Lu, Victor Y.; Lu, Brian; Yau, Wai-Fan; Draeger, Nerissa, CVD flowable gap fill.
  90. Cruse Richard (Kendall Park NJ) Szalai Veronika (New Haven CT) Clark Terence (Princeton NJ) Rohman Stephen (Kendall Park NJ) Mininni Robert (Stockton NJ), CVD of silicon-based ceramic materials on internal surface of a reactor.
  91. Carman Justice (Valley Center CA) Logan Mark A. (Pleasanton CA) Monkowski Joseph (Danville CA), CVD platen heater system utilizing concentric electric heating elements.
  92. Nishitani Eisuke (Yokohama JPX) Tsuzuku Susmu (Tokyo JPX) Chiba Natsuyo (Tokyo JPX) Kobayashi Shigeru (Hiratsuki JPX) Tamura Naoyuki (Kudamatsu JPX) Uchida Norihiro (Fujisawa JPX), CVD reactor apparatus.
  93. Lee Hsing-Chung (Woodland Hills CA), CVD reactor with uniform layer depositing ability.
  94. Lund John M. ; Parle Jonathan J. ; Washburn Monte R., Calibrated isothermal assembly for a thermocouple thermometer.
  95. Arai, Hirofumi, Calibration method of UV sensor for UV curing.
  96. Lieber Charles M. ; Dai Hongjie, Carbide nanomaterials.
  97. Lee, Ming-Chang; Tseng, Chih-Kuo, Carrier channel with element concentration gradient distribution and fabrication method thereof.
  98. Saeki, Hiroaki; Kondoh, Keisuke, Carrying device.
  99. Shrinivasan,Krishnan; Gentile,Stephen; Woytowitz,Peter; Roham,Sassan; Kamian,George, Cast pedestal with heating element and coaxial heat exchanger.
  100. Narushima, Masaki, Ceramic heater system and substrate processing apparatus having the same installed therein.
  101. Kita Hideki,JPX ; Kawamura Hideo,JPX ; Miyajima Kazuo,JPX, Ceramic sheath type thermocouple.
  102. Halpin, Michael; Shero, Eric; White, Carl; Alokozai, Fred; Winkler, Jerry; Dunn, Todd, Chamber sealing member.
  103. Sahin, Turgut; Wang, Yaxin; Xi, Ming, Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application.
  104. Chen Chen-An ; Littau Karl Anthony, Chemical vapor deposition manifold.
  105. Remington, Jr., Michael P., Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby.
  106. Hampden-Smith Mark ; Kunze Klaus ; Nyman May, Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate li.
  107. Monkowski Joseph R. (Carlsbad CA) Logan Mark A. (Carlsbad CA), Chemical vapor deposition reactor and method of use thereof.
  108. Uzoh,Cyprian Emeka; Talieh,Homayoun; Basol,Bulent, Chip interconnect and packaging deposition methods and structures.
  109. Endou, Kazunori; Inazumachi, Hiroshi, Chucking apparatus and production method for the same.
  110. Breda, Silvano, Circular shower strainer.
  111. Griffin, Ronald H.; Rung, Robert, Circular suction outlet assembly cover.
  112. Urabe, Yuji; Mieno, Yasumichi, Clamping device and workpiece conveying robot.
  113. Lee,Geun Su; Bok,Cheol Kyu; Hwang,Young Sun; Lee,Sung Koo; Moon,Seung Chan; Shin,Ki Soo, Cleaning solution for photoresist and method for forming pattern using the same.
  114. Patrick Leahey ; Jerry C. Chen ; Richard E. Remington ; Simon Yavelberg ; Timothy Driscoll ; Robert E. Ryan ; Brian Hatcher ; Rolf Guenther ; Xueyu Qian, Closed-loop dome thermal control apparatus for a semiconductor wafer processing system.
  115. Matsuoka, Nobuaki; Hashimoto, Takahiro; Tsuchiya, Katsuhiro; Hayashi, Shinichi; Hayashida, Yasushi, Coater/developer, coating/developing method, and storage medium.
  116. Armstrong Keith H. ; Kemp Kevin G. ; Liang Faqiu (Frank) ; Ramanan Natarajan, Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate.
  117. Hideomi Koinuma JP; Masashi Kawasaki JP, Combinatorial molecular layer epitaxy device.
  118. Li, Weimin; Sharan, Sujit; Sandhu, Gurtej, Combined gate cap or digit line and spacer deposition using HDP.
  119. Yu, Yi-Fei, Communication device having multi-module assembly.
  120. Leap, Gerald, Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation.
  121. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartnetalized substrate processing chamber.
  122. Nishimoto, Tomotaka; Okada, Yasuhiro; Igarashi, Chihiro; Yoshitake, Takanori; Watanabe, Nobuhisa, Component crimping apparatus control method, component crimping apparatus, and measuring tool.
  123. Stine Clifford R. (Solon OH) Wojtecki Rudolph G. (Mantua OH), Composite tubing product.
  124. Solberg Stephen J. ; LaPierre Larry L., Computer automated system and method for converting source-documents bearing alphanumeric text relating to survey measur.
  125. John G. Jones ; Paul D. Jero, Computer controlled temperature and oxygen maintenance for fiber coating CVD.
  126. Wastrom, Margareta, Computer platform with forearm support.
  127. Hsieh,Chih Wei, Conducting structure and electronic clinical thermometer embodying the structure.
  128. Kitayama,Hirofumi; Matsushima,Noriaki, Conductor treating single-wafer type treating device and method for semi-conductor treating.
  129. Swaminathan, Shankar; Sriram, Mandyam; van Schravendijk, Bart; Subramonium, Pramod; LaVoie, Adrien, Conformal doping via plasma activated atomic layer deposition and conformal film deposition.
  130. Papasouliotis,George D.; Tarafdar,Raihan M.; Rulkins,Ron; Hausmann,Dennis M.; Tobin,Jeff; Tipton,Adrianne K.; Nie,Bunsen; Yau,Wai Fan; Lu,Brian G.; Archer,Timothy M.; Somekh,Sasson Roger, Conformal nanolaminate dielectric deposition and etch bag gap fill process.
  131. Wooten David ; Krein Bruce ; Shi Jianou, Contact temperature probe with unrestrained orientation.
  132. Lysen Heinrich (Ismaning DEX) Hlzl Johannes G. (Mnchen DEX), Contact temperature sensor.
  133. Motoda Takashi (c/o Mitsubishi Denki Kabushiki Kaisha Hikari Micro-ha Device Kenkyusho ; 1 Mizuhara 4-chome Itami-shi ; Hyogo 664 JPX) Karakida Shoichi (c/o Mitsubishi Denki Kabushiki Kaisha Hikari M, Container for liquid metal organic compound.
  134. Sferlazzo, Piero, Continuous flow deposition system.
  135. Zaitsu, Masaru; Fukazawa, Atsuki; Fukuda, Hideaki, Continuous process incorporating atomic layer etching.
  136. Elers, Kai-Erik, Controlled composition using plasma-enhanced atomic layer deposition.
  137. Hiroaki Saeki JP; Keisuke Kondoh JP, Conveyor system.
  138. Shunji Yamada JP, Cooling disk unit for use in a wafer chucking device.
  139. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Copper barrier reflow process employing high speed optical annealing.
  140. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer.
  141. Lalvani Haresh (317 Washington Ave. ; Apt. 4A Brooklyn NY 11205), Crescent-shaped polygonal tiles.
  142. Simson Morris (Framingham MA) Fabricius John H. (Westford MA) Browne Ronnie (Derry NH) Waugh Arthur (Winchester MA) Sarkozy Robert F. (Westford MA) Lai Chiu K. S. (Wellesley MA), Cross-flow diffusion furnace.
  143. Tanaka So (Osaka JPX) Iiyama Michitomo (Osaka JPX), Crystal compensated superconducting thin film formed of oxide superconductor material.
  144. Bauer, Matthias; Thomas, Shawn G., Cyclical epitaxial deposition and etch.
  145. York, Barry Dean, Debris mask and basin.
  146. Bhatia,Ritwik; Xia,Li Qun; Peterson,Chad; M'Saad,Hichem, Decreasing the etch rate of silicon nitride by carbon addition.
  147. Caprari Fausto (East Brunswick NJ), Deep ultraviolet (DUV) flood exposure system.
  148. Prescott Norman F. (Four Fairview Ave. Wenham MA 01984), Delivery system for organometallic compounds.
  149. Wang, Luping; Baum, Thomas H.; Xu, Chongying, Delivery systems for efficient vaporization of precursor source material.
  150. Wang,Luping; Baum,Thomas H.; Xu,Chongying, Delivery systems for efficient vaporization of precursor source material.
  151. Lam, Hyman; Denny, Nicholas R.; AuBuchon, Joseph; Chang, Mei, Deposition chambers with UV treatment and methods of use.
  152. Law, Kam S.; Shang, Quanyuan; Harshbarger, William R.; Maydan, Dan; Choi, Soo Young; Park, Beom Soo; Yadav, Sanjay; White, John M., Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow.
  153. Raisanen, Petri; Shero, Eric; Haukka, Suvi; Milligan, Robert Brennan; Givens, Michael Eugene, Deposition of metal borides.
  154. Craig R. Metzner ; Turgut Sahin ; Gregory F. Redinbo ; Pravin K. Narwankar ; Patricia M. Liu, Deposition reactor having vaporizing, mixing and cleaning capabilities.
  155. Yednak, III, Andrew M.; Dunn, Todd; White, Carl; Manasco, Michael, Deposition valve assembly and method of heating the same.
  156. Ben-Dov, Yuval; Sarfaty, Moshe; Garachtchenko, Alexander Viktorovich, Detection of process endpoint through monitoring fluctuation of output data.
  157. Yetter, Jr., Forrest Gilbert; Parker, Jeffrey M.; Renken, Wayne G.; Pieper, John B., Determining process condition in substrate processing module.
  158. Ogliari, Vincenzo; Pozzetti, Vittorio; Preti, Franco, Device and method for handling substrates by means of a self-leveling vacuum system in epitaxial induction.
  159. Numakura, Masahiro, Device for controlling processing system, method for controlling processing system and computer-readable storage medium stored processing program.
  160. Gussefeld Horst (Uttenreuth DE1) Christoph Heinz (Nuremberg DE1), Device for distributing flowing media over a flow cross section.
  161. Minami Yukio,JPX ; Ikeda Nobukazu,JPX ; Yamaji Michio,JPX ; Tanigawa Tsutomu,JPX, Device for heating fluid controller.
  162. Piai Bruno (Castellanza ITX), Device for measuring the temperature of the material contained in a closed apparatus.
  163. Zinger Yan (Dwingeloo NLX), Device for treating micro-circuit wafers.
  164. Lee, Woo Jin; Fukazawa, Atsuki; Matsuki, Nobuo, Device isolation technology on semiconductor substrate.
  165. Choi, Soo Young; White, John M., Diffuser plate with slit valve compensation.
  166. Balish Kenneth E. ; Nowak Thomas ; Tanaka Tsutomu ; Beals Mark, Dilute remote plasma clean.
  167. Huskamp, Chris; Bagwill, Tracy L., Direct-manufactured duct interconnects.
  168. Zuk, Jr., Peter, Disposable vacuum filtration apparatus capable of detecting microorganisms and particulates in liquid samples.
  169. Schick, Karl G.; Uhen, David, Disposable, pre-calibrated, pre-validated sensors for use in bio-processing applications.
  170. Kang, Young Boung, Disposer of connection member for kitchen sink bowl.
  171. Berenbak,Bart; de Ridder,Chris G. M., Door plate for furnace.
  172. Todd, Michael A., Dopant precursors and processes.
  173. Sapre, Kedar; Tang, Jing; Bhatnagar, Ajay; Ingle, Nitin; Venkataraman, Shankar, Double patterning etching process.
  174. Suzuki Hiromi (Tochigi-ken JPX), Downflow spin dryer.
  175. Okudaira Sadayuki (Ome JPX) Kawakami Hiroshi (Hachioji JPX) Kure Tokuo (Tokyo JPX) Tsujimoto Kazunori (Higashiyamato JPX) Tachi Shinichi (Sayama JPX), Dry etching by alternately etching and depositing.
  176. Kadomura Shingo (Kanagawa JPX), Dry etching method.
  177. Komino Mitsuaki,JPX ; Uchisawa Osamu,JPX, Drying processing method and apparatus using same.
  178. Majewski Robert ; Kao Yeh-Jen ; Wang Yen Kun, Dual channel gas distribution plate.
  179. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  180. Madocks,John E., Dual plasma beam sources and method.
  181. Oehlschlaeger Richard M. (Novelty OH) Greco John R. (Ravenna OH), Dual wall safety tube.
  182. Wilmer Michael E., Dynamic gas flow controller.
  183. Tanaka,Hideki, Ear-type clinical thermometer.
  184. Yasuda, Hozumi; Namiki, Keisuke; Fukushima, Makoto; Nabeya, Osamu; Saito, Koji; Yamaki, Satoru; Inoue, Tomoshi; Togashi, Shingo; Togawa, Tetsuji, Elastic membrane for semiconductor wafer polishing apparatus.
  185. Mertz ; William C., Electro-mechanical pulser.
  186. Uchino,Takeo; Shichida,Hiroyuki; Isozaki,Masakazu; Tsubone,Tsunehiko; Makino,Akitaka, Electrode cover for a plasma processing apparatus.
  187. Kozuka, Shinichi; Niitsuma, Ryosuke; Ishikawa, Manabu, Electrode plate for plasma processing apparatus.
  188. Jang, Hyun Soo; Lee, Jeong Ho; Kim, Young Hoon; Kim, Jong Su, Electrode plate for semiconductor manufacturing apparatus.
  189. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  190. Hanlet Jacques M. (Loxahatchee FL), Electronic ballast system.
  191. Lu, Hsueh-Yu, Electronic clinical thermometer.
  192. Kobayashi, Isamu, Electronic clinical thermometer and method of producing the same.
  193. Benson Saw MY; Chin Chuan Lim MY; Seong Jin Lim MY; Tee Hoh Quah MY, Electronic device.
  194. Yamashita Teppei (Ise JPX) Murata Masanao (Ise JPX) Tanaka Tsuyoshi (Ise JPX) Morita Teruya (Ise JPX) Kawano Hitoshi (Ise JPX) Hayashi Mitsuhiro (Ise JPX) Okuno Atsushi (Ise JPX) Nakamura Akio (Ise J, Electronic substrate processing system using portable closed containers and its equipments.
  195. Sugimoto, Hiroya, Electrostatic chuck.
  196. Tamagawa Koki,JPX ; Takahashi Kojiro,JPX ; Suzuki Takahiko,JPX ; Fukunishi Ryuichi,JPX, Electrostatic chuck and method of attracting wafer.
  197. Tsuruta, Hideyoshi; Yamaguchi, Kazuaki, Electrostatic chunks.
  198. Gardner Donald S. (Mountain View CA), Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias.
  199. Woodruff, Daniel J.; Erickson, James J., End-effectors and transfer devices for handling microelectronic workpieces.
  200. James D. Awtrey ; Hal Kurkowski ; Robert D. Lee, Environmental condition sensor device and method.
  201. Frijlink Peter (Crosne FRX), Epitaxial reactor having a wall which is protected from deposits.
  202. Herchen Harald ; Merry Walter ; Brown William, Etch enhancement using an improved gas distribution plate.
  203. Chandrachood, Madhavi R.; Kumar, Ajay, Etching of nano-imprint templates using an etch reactor.
  204. Li, Yicheng, Exhaust apparatus configured to reduce particle contamination in a deposition system.
  205. Hattori, Tadashi, Exposure apparatus and method of manufacturing device.
  206. Hayashi, Yutaka, Exposure apparatus, exposure method, and device manufacturing method.
  207. Obikane, Tadashi, FOUP opening/closing device and probe apparatus.
  208. Miyasaka Mitsutoshi,JPX, Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device.
  209. Robinson ; Jr. George D. ; Perrotto Joseph A. ; Jeromin Lothar S. ; Davis James E., Fabrication of large area x-ray image capturing element.
  210. Basol,Bulent M.; Talieh,Homayoun, Fabrication of semiconductor interconnect structures.
  211. Swearingen Saxon B. (La Porte TX), Fast responsive, high pressure thermocouple.
  212. Whelpton ; Hugh G. ; Trembley ; William H., Fastener installation method.
  213. Grether, Hermann, Faucet stream former.
  214. Brunderman,Brenda, Faux brick tool.
  215. Camillo-Castillo, Renata A.; Jain, Vibhor; Khater, Marwan H.; Sharma, Santosh, Field plate in heterojunction bipolar transistor with improved break-down voltage.
  216. Takagi, Toshio, Film deposition apparatus and film deposition method.
  217. Kato, Hitoshi; Tamura, Tatsuya; Kumagai, Takeshi, Film deposition method.
  218. Kakimoto, Akinobu; Komori, Katsuhiko; Hasebe, Kazuhide, Film formation apparatus.
  219. Matsuse, Kimihiro, Film forming apparatus and film forming method.
  220. Koike Atsushi (Chiba JPX), Film forming apparatus capable of preventing adhesion of film deposits.
  221. Matsuyama, Hideaki; Wada, Takehito, Film forming method and film forming apparatus.
  222. Shimura, Satoru; Iwao, Fumiko; Yoshihara, Kousuke, Film forming method, computer storage medium, and film forming system.
  223. Ikegawa, Hiroaki; Shima, Hiromi; Tachino, Yusuke, Film forming method, film forming apparatus, and storage medium.
  224. Miyoshi, Hidenori; Azumo, Shuji, Film forming method, semiconductor device, manufacturing method thereof and substrate processing apparatus therefor.
  225. Goto,Masashi; Azuma,Kazufumi; Nakata,Yukihiko, Film-forming method, method of manufacturing semiconductor device, semiconductor device, method of manufacturing display device, and display device.
  226. Fastow,Richard M.; He,Yue Song; Wang,Zhigang, Flash memory with high-K dielectric material between substrate and gate.
  227. Manville Georgeann (Ojai CA) Yancey Bryan (Ojai CA), Floor drain strainer.
  228. Pillow Daryl R., Floor protection template for use while spray-painting door frames.
  229. Aid James D. (St. Petersburg FL) Cameron Norman F. (St. Petersburg FL) Hartranft Thomas P. (Safety Harbor FL), Flow measurement system.
  230. Ismailov, Murad M., Flow meter.
  231. Mallick, Abhijit Basu; Ingle, Nitin K., Flowable carbon for semiconductor processing.
  232. Gauri, Vishal; Humayun, Raashina; Lang, Chi-I; Huang, Judy H.; Barnes, Michael; Shanker, Sunil, Flowable film dielectric gap fill process.
  233. Lei Lawrence ; Trihn Son ; Huston Joel M., Fluid delivery system and method.
  234. Salvador, Christopher J.; Heibenthal, Randall W.; Deedrich, Dennis M.; Harder, David B.; Hacker, John R.; Eisenmenger, Richard, Fluid filter system.
  235. Patejak Jerzy F. (Wampum PA), Flying saw with movable work shifter.
  236. Nakano, Ryu, Footing reduction using etch-selective layer.
  237. Farhad K. Moghadam ; David W. Cheung ; Ellie Yieh ; Li-Qun Xia ; Wai-Fan Yau ; Chi-I Lang ; Shin-Puu Jeng TW; Frederic Gaillard FR; Shankar Venkataraman ; Srinivas Nemani, Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound.
  238. Byun, Jeong Soo; Mak, Alfred, Formation of boride barrier layers using chemisorption techniques.
  239. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  240. Milligan, Robert Brennan, Formation of boron-doped titanium metal films with high work function.
  241. Hawryluk, Andrew M.; Sundaram, Ganesh; Bhatia, Ritwik, Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations.
  242. Paton, Eric N.; Xiang, Qi; Yu, Bin, Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications.
  243. Furukawa,Toshiharu; Holmes,Steven J.; Horak,David V.; Koburger, III,Charles W., Forming capping layer over metal wire structure using selective atomic layer deposition.
  244. MacNeil,John; Ishaq,Sajid; Gris,Herv?; Giles,Katherine, Forming low k dielectric layers.
  245. Bhatnagar, Ashok; Wagner, Lori L.; Tan, Chok Bin C.; Arvidson, Brian; Murray, Jr., Harold Lindley; Hurst, David, Frag shield.
  246. Bencher, Christopher D.; Horioka, Keiji, Frequency doubling using spacer mask.
  247. Garric George (Perthes FRX) Lafond Andr (Nemours FRX), Fully automated and computerized conveyor based manufacturing line architectures adapted to pressurized sealable transpo.
  248. White, Carl L.; Shero, Eric; Reed, Joe, Gap maintenance for opening to process chamber.
  249. Kang, Hu; Swaminathan, Shankar; Qian, Jun; Kim, Wanki; Hausmann, Dennis; van Schravendijk, Bart J.; LaVoie, Adrien, Gapfill of variable aspect ratio features with a composite PEALD and PECVD method.
  250. Hermans, Ty Gerard, Garbage disposal cover with scraper.
  251. Bronson James, Garbage disposal strainer and splash guard.
  252. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  253. Su Yuh-Jia (Cupertino CA), Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing.
  254. Jeong Kyung Cheol,KRX, Gas distribution system and method for chemical vapor deposition apparatus.
  255. Vukovic, Mirko, Gas distribution system and method for distributing process gas in a processing system.
  256. Akifumi Nishio JP; Masahiro Hori JP; Naohito Yamada JP, Gas feed ceramic structure for semiconductor-producing apparatus.
  257. Jang, Hyun Soo; Lee, Jeong Ho; Kim, Young Hoon; Jeon, Young Hyo, Gas flow control plate for semiconductor manufacturing apparatus.
  258. Ozawa, Yukio; Ito, Minoru; Doi, Hiroki; Nakada, Akiko, Gas flow rate verification unit.
  259. Bhat Rajaram (Middletown NJ), Gas foil rotating substrate holder.
  260. Young Lydia J. ; Matthiesen Richard H. ; Selitser Simon ; Os Ron van, Gas injection system for semiconductor processing.
  261. Hawkins Mark R. (Mesa AZ) Robinson McDonald (Paradise Valley AZ), Gas injectors for reaction chambers in CVD systems.
  262. Ballance David S. ; Bierman Benjamin ; Tietz James V., Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween.
  263. Fujino, Toshiki; Takagi, Kosuke; Sasajima, Ryota, Gas nozzle substrate processing apparatus.
  264. Donohoe, Kevin G.; Becker, David S., Gas pulsing for etch profile control.
  265. Spiegelman, Jeffrey J.; Abber, Russell L., Gas purification system and method.
  266. Tsuda, Einosuke, Gas supply device.
  267. Kim, Dae Youn; Kim, Hie Chul; Jang, Hyun Soo, Gas supply plate for semiconductor manufacturing apparatus.
  268. Yamagishi, Takayuki; Suwada, Masaei, Gas-line system for semiconductor-manufacturing apparatus.
  269. Brown, Jeffrey J.; Deshpande, Sadanand Vinayak; Horak, David V.; Surendra, Maheswaran; Tsou, Len Y.; Yang, Qingyun; Yu, Chienfan; Zhang, Ying, Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching.
  270. Waragai Kenji,JPX ; Ishigaki Tsuneo,JPX, Gate valve.
  271. Kouvetakis, John; Bauer, Matthew; Menendez, Jose; Hu, Chang Wu; Tsong, Ignatius S. T.; Tolle, John, GeSn alloys and ordered phases with direct tunable bandgaps grown directly on silicon.
  272. Hill, Eric, Getter plate.
  273. Kageyama, Junichi, Glass substrate-holding tool.
  274. Mitsumori, Takahiro; Kinoshita, Takeru; Ise, Hirotoshi, Glass substrate-holding tool and method for producing an EUV mask blank by employing the same.
  275. Verhaar, Nico A. H., Graphical representation of a wafer processing process.
  276. Chen,Xiaolin; Bloking,Jason, HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance.
  277. Masami Ikeda JP; Hiroshi Sugitani JP; Shigeyuki Matsumoto JP; Yasuhiro Naruse JP; Kenji Makino JP; Masaaki Izumida JP; Seiichi Tamura JP, HEAT GENERATING RESISTOR CONTAINING TAN0.8, SUBSTRATE PROVIDED WITH SAID HEAT GENERATING RESISTOR FOR LIQUID JET HEAD, LIQUID JET HEAD PROVIDED WITH SAID SUBSTRATE, AND LIQUID JET APPARATUS PROVIDED .
  278. Matthews, John C., Hardening of photoresist.
  279. Sada Tetsuya,JPX, Heat process apparatus and heat process method.
  280. Villar Luis F. (Westbury NY), Heat sensing device.
  281. Nakamura, Iwao; Nakamura, Naoto; Nakashima, Sadao, Heat treating apparatus.
  282. Kaneko, Hirofumi, Heat treatment apparatus.
  283. Shimazu Tomohisa,JPX, Heat treatment apparatus.
  284. Yang, Jae-Hyun; Ahn, Yo-Han; Lee, Kun-Hyung; Cho, Gui-Young; Jeong, Hong-Hee; Kim, Mi-Ae, Heat treatment equipment.
  285. Adachi,Naoshi, Heat treatment jig for semiconductor silicon substrate.
  286. Adachi,Naoshi; Yoshida,Kazushi; Aoki,Yoshiro, Heat treatment jig for semiconductor substrate.
  287. Adachi,Naoshi, Heat treatment jig for silicon semiconductor substrate.
  288. Nakao Ken,JPX, Heat treatment method.
  289. Suzuki Fujio (Kanagawa JPX), Heat-treating apparatus with batch scheme having improved heat controlling capability.
  290. Vincent Kent D. (Cupertino CA), Heated transfer line for capillary tubing.
  291. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Heater block.
  292. Yamagishi, Takayuki; Tsuji, Naoto; Sato, Kazuo, Heater block.
  293. Daniel Zucker, Heater block cooling system for wafer processing apparatus.
  294. Yoo Jin-Seock,KRX, Heater block for heating wafer.
  295. Takahashi, Satoshi, Heater block for use in a semiconductor processing tool.
  296. Yednak, III, Andrew M.; Pettinger, Jr., Frederick L., Heater jacket for a fluid line.
  297. Miyazaki Mitsuhiko,JPX, Heater-sensor complex.
  298. Kasai, Shigeru; Suzuki, Tomohiro, Heating apparatus, heat treatment apparatus, computer program and storage medium.
  299. Kawaji, Tatsuya; Sakai, Yuichi; Kaneda, Masatoshi, Heating apparatus, heating method, and computer readable storage medium.
  300. Tadokoro, Masahide; Kondo, Yoshihiro; Saito, Takashi, Heating device, coating/developing system, heating method, coating/developing method, and recording medium having program for executing heating method or coating/developing method.
  301. Chung, Hsiao Pei; Arai, Hirofumi; Ishikawa, Dai, Heating/cooling pedestal for semiconductor-processing apparatus.
  302. Wang,Ing Yann Albert; Chebi,Robert, High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation.
  303. Tanaka Gotaro (Kanagawa JPX) Fujiwara Kunio (Kanagawa JPX) Miyajiri Tetsuo (Kanagawa JPX), High frequency induction coupled plasma torch with concentric pipes having flanges thereon.
  304. Hernandez Adan F. (Scarborough NY) Bruning Gert W. (No. Tarrytown NY), High frequency inverter with power-line-controlled frequency modulation.
  305. Berry, III, Ivan Louis; Chung, Kyuha; Han, Qingyuan; Liu, Youfan; Moyer, Eric Scott; Spaulding, Michael John, High modulus, low dielectric constant coatings.
  306. Mallick, Abhijit Basu; Nemani, Srinivas D.; Yieh, Ellie, High quality silicon oxide films by remote plasma CVD from disilane precursors.
  307. Machado Jose R. (2805 Windy Hill Rd. Allentown PA 18103) Francis Terry A. (1535 Shaw Dr. San Jose CA 95118) Hey Hans P. W. (1483 Myrtle Ave. San Jose CA 95118), High step coverage silicon oxide thin films.
  308. Sajoto Talex ; Selyutin Leonid ; Zhao Jun ; Wolff Stefan, High temperature multi-layered alloy heater assembly and related methods.
  309. Chen Steven Aihua ; Xi Ming ; Wang Ruiping, High temperature susceptor.
  310. Gage, Chris; Genetti, Damon, High throughput method of in transit wafer position correction in system using multiple robots.
  311. Hey H. Peter W. (Phoenix AZ) Mazak William A. (Mesa AZ) Aggarwal Ravinder K. (Mesa AZ) Curtin John H. (Phoenix AZ), High throughput multi station processor for multiple single wafers.
  312. Wytman Joe, High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock.
  313. Contin Jose L. (Orinda CA), High vacuum gate valve having improved metal vacuum joint.
  314. Carollo, Enzo, High-density plasma process for depositing a layer of silicon nitride.
  315. Tetsuya Ishikawa ; Kaveh Niazi ; Tsutomu Tanaka ; Canfeng Lai ; Robert Duncan, High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers.
  316. Rempe, Joy L.; Knudson, Darrell L.; Condie, Keith G.; Wilkins, S. Curt, High-temperature thermocouples and related methods.
  317. Mori, Yukihiro; Yamagishi, Takayuki, High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules.
  318. Van Der Toorn,Karel Jan, Holder for a substrate cassette and device provided with such a holder.
  319. Osada, Hideyuki, Holding pad for transferring a wafer.
  320. Kojima Noriatsu (31 ; Yanagishima-cho 5-chome Nakagawa-ku ; Nagoya ; Aichi JPX), Horn shaped multi-inlet pipe fitting.
  321. Deng, Xunming; Povolny, Henry S., Hot-filament chemical vapor deposition chamber and process with multiple gas inlets.
  322. Tan, Zhengquan; Li, Dongqing; Zygmunt, Walter; Ishikawa, Tetsuya, Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD.
  323. Tan, Zhengquan; Li, Dongqing; Zygmunt, Walter; Ishikawa, Tetsuya, Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD.
  324. Shanker,Sunil; Cox,Sean; Lang,Chi I; Huang,Judy H.; Nguyen,Minh Anh; Vo,Ken; Zhu,Wenxian, Hydrogen treatment enhanced gap fill.
  325. Greenspan David C. (Vienna VA), Immersion pyrometer with protective structure for sidewall use.
  326. Ogawa Koji (Kasugai JPX) Suzuki Hiroshi (Tajimi JPX), Immersion-type temperature measuring apparatus using thermocouple.
  327. Reid, Kimberly G.; Dip, Anthony, In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition.
  328. Gupta Anand ; Parkhe Vijay, Increasing the sensitivity of an in-situ particle monitor.
  329. Li Leping ; Gilhooly James Albert ; Morgan ; III Clifford Owen ; Surovic William Joseph ; Wei Cong, Indirect endpoint detection by chemical reaction and chemiluminescence.
  330. Christensen Robert W. (Monte Sereno CA), Induction heated pancake epitaxial reactor.
  331. Chang Mei (Cupertino CA) Wang David N. K. (Cupertino CA) White John M. (Hayward CA) Maydan Dan (Los Altos Hills CA), Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films.
  332. Hayes Donald J. ; Wallace David B. ; Frederickson Christopher J., Inline thermo-cycler.
  333. Liu, Chung-Shi; Yu, Chen-Hua, Integrated circuit and manufacturing method of copper germanide and copper silicide as copper capping layer.
  334. Waite, Andrew M.; Luning, Scott, Integrated circuit and method for its manufacture.
  335. Knoefler, Roman; Specht, Michael; Hofmann, Franz; Beug, Florian; Manger, Dirk; Riedel, Stephan, Integrated circuits having a contact region and methods for manufacturing the same.
  336. Mizuno Shigeru (Fuchu JPX) Katsumata Yoshihiro (Fuchu JPX) Takahashi Nobuyuki (Fuchu JPX), Integrated module multi-chamber CVD processing system and its method for processing substrates.
  337. Mori, Glen T.; Ow, Yueh Sheng, Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation.
  338. Carcasi, Michael A.; Somervell, Mark H.; Rathsack, Benjamen M., Integration of absorption based heating bake methods into a photolithography track system.
  339. Karthik Janakiraman ; Kelly Fong ; Chen-An Chen ; Paul Le ; Rong Pan ; Shankar Venkataraman, Integration of remote plasma generator with semiconductor processing chamber.
  340. Zhao Bin ; Brongo Maureen R., Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing.
  341. Ebrahim Andideh, Interlayer dielectric with a composite dielectric stack.
  342. Sadaka, Mariam G.; Eades, Debby; Mogab, Joe; Nguyen, Bich Yen; Zavala, Melissa O.; Spencer, Gregory S., Inverse slope isolation and dual surface orientation integration.
  343. Gadgil Prasad N. (Burnaby CAX), Inverted diffuser stagnation point flow reactor for vapor deposition of thin films.
  344. Moffatt, Stephen, LED substrate processing.
  345. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  346. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  347. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  348. Boris Livshits IL; Menachem Genut IL; Ofer Tehar-Zahav IL, Laser stripping improvement by modified gas composition.
  349. Cowans,Kenneth W., Lateral temperature equalizing system for large area surfaces during processing.
  350. Cowans,Kenneth W., Lateral temperature equalizing system for large area surfaces during processing.
  351. Yamazaki,Shunpei, Layer member forming method.
  352. Tietz James V. ; Bierman Benjamin, Lift pin and support pin apparatus for a processing chamber.
  353. Saxon, Thomas Jason; Saxon, Holly Holleman, Light emitting diode system.
  354. Srivastava,Alok Mani; Setlur,Anant Achyut; Loureiro,Sergio Paulo Martins; Williams,Darryl Stephen; Manoharan,Mohan, Light sources with nanometer-sized VUV radiation-absorbing phosphors.
  355. Boscaljon Ronald W. ; Rossway Ronald A. ; Jewell David E. ; Hazelwood Eric, Lightweight positive lock coupling.
  356. Parsons Paige K., Link filters.
  357. Furukawahara, Kazunori; Fukuda, Hideaki, Liquid material vaporization apparatus for semiconductor processing apparatus.
  358. Jeong, Gyu-Chan; Kim, Ki-Sang, Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same.
  359. Inui, Yoshitaka, Load storage equipment.
  360. Inui, Yoshitaka, Load storage equipment.
  361. Craig A. Sowada ; Richard R. Ruegemer, Lock device and lock method for knife gate valves.
  362. Friemoth Dale J. (Loveland OH) Hacker Steven A. (Erlanger KY) Loeb Jeff M. (Cincinnati OH), Lockout modules.
  363. Shugrue, John; Moen, Ron, Lockout tagout for semiconductor vacuum valve.
  364. Wengert John F. ; Raaijmakers Ivo ; Halpin Mike ; Jacobs Loren ; Meyer Michael J. ; van Bilsen Frank ; Goodman Matt ; Barrett Eric ; Wood Eric ; Samuels Blake, Long life high temperature process chamber.
  365. Anderson,Brent A.; Nowak,Edward J., Low capacitance junction-isolation for bulk FinFET technology.
  366. Hanawa,Hiroji; Ramaswamy,Kartik; Collins,Kenneth S.; Al Bayati,Amir; Gallo,Biagio; Nguyen,Andrew, Low temperature CVD process with selected stress of the CVD layer on CMOS devices.
  367. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Low temperature plasma deposition process for carbon layer deposition.
  368. Fonash, Stephen J.; Lin, Xin; Reber, Douglas M., Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications.
  369. Mungekar, Hemant P.; Wu, Jing; Lee, Young S.; Wang, Anchuan, Low wet etch rate silicon nitride film.
  370. Nakano, Ryu; Inoue, Naoki; Namba, Kunitoshi, Low-oxidation plasma-assisted process.
  371. Vitale,Steven Arthur, Manufacturing a semiconductive device using a controlled atomic layer removal process.
  372. James Michael Whalen, Marine deck drain strainer.
  373. Abatchev, Mirzafer K.; Sandhu, Gurtej, Mask material conversion.
  374. Lee Jian-Huei (Hsin-Chu TWX) Yen Ying-Tzu (Hsin-Chu TWX) Peng Ping-Hui (Hsin-Chu TWX), Masked-gate MOS S/D implantation.
  375. Smargiassi, Eugene, Measuring in-situ UV intensity in UV cure tool.
  376. Lukas,Aaron Scott; O'Neill,Mark Leonard; Vincent,Jean Louise; Vrtis,Raymond Nicholas; Bitner,Mark Daniel; Karwacki, Jr.,Eugene Joseph, Mechanical enhancement of dense and porous organosilicate materials by UV exposure.
  377. Hofmann Franz,DEX ; Willer Josef,DEX ; Krautschneider Wolfgang,DEX, Memory cell arrangement with vertical MOS transistors and the production process thereof.
  378. Yang, Chin-Sheng, Metal capacitor and method of making the same.
  379. Elers,Kai; Li,Wei Min, Metal nitride carbide deposition by ALD.
  380. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  381. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  382. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Metal silicide, metal germanide, methods for making the same.
  383. Wurzburger ; deceased Paul D. (late of Cleveland Heights OH) Reed ; executor by Peter (Cleveland OH), Metering valve.
  384. Lofgren Peter,SEX ; Gu Chun Yuan,SEX ; Hallin Christer,SEX ; Liu Yujing,SEX, Method and a device for epitaxial growth of objects by chemical vapor deposition.
  385. Linnarsson,Henrik, Method and a device for thermal analysis of cast iron.
  386. Hammel Bent (Eiksmarka NOX), Method and a plant for transport of hydrocarbons over a long distance from an offshore source of hydrocarbons.
  387. Uzoh, Cyprian Emeka, Method and apparatus for 3D interconnect.
  388. Cho,Seon Mee; Srinivasan,Easwar; Lu,Brian G.; Mordo,David, Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties.
  389. Engle George M. (Scottsdale AZ), Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions.
  390. Barr Thomas Aloysius ; Barr Christopher Velton ; Elliott James Charles ; Frew Dirk Alan, Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components.
  391. Kramer Martin S. (Romeo MI) Byrnes Larry E. (Rochester Hills MI) Holmes Gary L. (Grand Bland MI), Method and apparatus for application of thermal spray coatings to engine blocks.
  392. Jevtic Dusan, Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool.
  393. Boitnott Charles A. (Half Moon Bay CA) Toole Monte M. (San Carlos CA), Method and apparatus for batch processing a semiconductor wafer.
  394. van den Berg, Jannes Remco; den Hartog, Edwin, Method and apparatus for batch processing of wafers in a furnace.
  395. Nishi Katsuo (Tokyo JPX) Terada Kazuo (Kumamoto-ken JPX) Ohkase Wataru (Sagamihara JPX) Yamaga Kenichi (Sagamihara JPX), Method and apparatus for controlling temperature in rapid heat treatment system.
  396. Benjamin, Neil; Steger, Robert, Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support.
  397. Ryu Choon Kun ; Huang Judy H. ; Cheung David, Method and apparatus for depositing a planarized passivation layer.
  398. Ball Dean M. (Gainesville GA), Method and apparatus for detecting toxic gases.
  399. Fishkin Boris ; Hearne John S. ; Lowrance Robert B., Method and apparatus for drying substrates.
  400. Smith ; Jr. ; Andrew W. ; Kratz ; Kenneth S., Method and apparatus for eccentricity correction in a rolling mill.
  401. Fu,Xiang; Stafford,Roy Campbell, Method and apparatus for exchanging data in a platform independent manner.
  402. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Aerde, Steven R. A.; Haukka, Suvi; Fukuzawa, Atsuki; Fukuda, Hideaki, Method and apparatus for filling a gap.
  403. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Der Star, Gido; Suzuki, Toshiya, Method and apparatus for filling a gap.
  404. Chiang, Tony P.; Leeser, Karl F., Method and apparatus for improved temperature control in atomic layer deposition.
  405. Reed, Joseph C; Shero, Eric J, Method and apparatus for minimizing contamination in semiconductor processing chamber.
  406. Shepherd, Jr.,Robert A.; Caughran,James, Method and apparatus for plasma optimization in water processing.
  407. Robbins Roger A. (Allen TX) Brown Donald E. (Bedford TX) Buck David W. (Mesquite TX) Rose Alan D. (Wylie TX), Method and apparatus for reducing etching erosion in a plasma containment tube.
  408. Bok Hoen Kim ; Mario Dave Silvetti ; Ameeta Madhava ; Davood Khalili ; Martin Seamons ; Emanuele Cappello ; Nam Le ; Lloyd Berken, Method and apparatus for reducing particle contamination on wafer backside during CVD process.
  409. Venkatesh Srilakshmi ; Jevtic Dusan, Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot.
  410. Suzuki Keizo (Arlington MA) Ninomiya Ken (Nakano JPX) Nishimatsu Shigeru (Kokubunji JPX) Okudaira Sadayuki (Ohme JPX) Okada Osami (Chofu JPX), Method and apparatus for surface treatment by plasma.
  411. Pfahnl Andreas C. ; Lienhard ; V John H. ; Watson Daniel J., Method and apparatus for temperature control of a semiconductor electrical-test contractor assembly.
  412. Gregg, John N.; Battle, Scott L.; Banton, Jeffrey I.; Naito, Donn K.; Laxman, Ravi K., Method and apparatus to help promote contact of gas with vaporized material.
  413. Meinel Helmut (Stuttgart DT), Method and arrangement for determining nitric oxide concentration.
  414. Suntola Tuomo,FIX ; Lindfors Sven,FIX ; Soininen Pekka,FIX, Method and equipment for growing thin films.
  415. Basol,Bulent M.; Talieh,Homayoun, Method and structure to improve reliability of copper interconnects.
  416. Donohue Michael ; Leung Patrick, Method and system for delivering documents customized for a particular user over the internet using imbedded dynamic co.
  417. Yoshiura, Hiroshi; Sasaki, Ryoichi, Method and system for distributing multimedia data with management information.
  418. Mallick, Abhijit Basu; Munro, Jeffrey C.; Wang, Linlin; Nemani, Srinivas D.; Zheng, Yi; Yuan, Zheng; Lubomirsky, Dimitry; Yieh, Ellie Y., Method and system for improving dielectric film quality for void free gap fill.
  419. Tolle, John; Hill, Eric; Winkler, Jereld Lee, Method and system for in situ formation of gas-phase compounds.
  420. Bascom,Thomas Layne, Method and system for making document objects available to users of a network.
  421. Okrah, Angel Y., Method and system for using a buffer to track robotic movement.
  422. Siegers,Johan, Method and system for using short ranged wireless enabled computers as a service tool.
  423. Haanstra, Kornelius; Van Der Pol, Marinus Jan Jan; Zinger, Jan, Method and system to process semiconductor wafers.
  424. Haanstra,Kornelius; van der Pol,Marinus Jan; Zinger,Jan, Method and system to process semiconductor wafers.
  425. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  426. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  427. Winkler, Jereld Lee, Method and systems for in-situ formation of intermediate reactive species.
  428. Fischer Heinrich (Furstentum LIX), Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method.
  429. Okabe, Tatsuhiro; Fukazawa, Atsuki, Method for cleaning reaction chamber using pre-cleaning process.
  430. Omori, Taku; Inoue, Naoki; Adachi, Wataru, Method for controlling cyclic plasma-assisted process.
  431. Nakano, Ryu; Inoue, Naoki, Method for controlling in-plane uniformity of substrate processed by plasma-assisted process.
  432. Tuominen, Marko; Shero, Eric; Verghese, Mohith, Method for controlling the sublimation of reactants.
  433. Liu, Junjun; Toma, Dorel I.; Lee, Eric M., Method for curing a porous low dielectric constant dielectric film.
  434. Harvey, Keith R.; Lim, Tian-Hoe; Xia, Li-Qun, Method for densification of CVD carbon-doped silicon oxide films through UV irradiation.
  435. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method for depositing a nanolaminate film by atomic layer deposition.
  436. Fairbairn, Kevin; Rice, Michael; Weidman, Timothy; Ngai, Christopher S; Latchford, Ian Scot; Bencher, Christopher Dennis; Wang, Yuxiang May, Method for depositing an amorphous carbon layer.
  437. Luttmer Joseph D. (Richardson TX) York Rudy L. (Plano TX) Smith Patricia B. (Euless TX) Davis Cecil J. (Greenville TX), Method for depositing compound from group II-VI.
  438. Suemori, Hidemi, Method for depositing dielectric film in trenches by PEALD.
  439. Fukazawa, Atsuki; Tazawa, Hisashi; Ha, Jeongseok; Ueda, Shintaro, Method for depositing flowable material using alkoxysilane or aminosilane precursor.
  440. Kang, DongSeok, Method for depositing thin film.
  441. Ji,Bing; Motika,Stephen Andrew; Pearlstein,Ronald Martin; Karwacki, Jr.,Eugene Joseph; Wu,Dingjun, Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials.
  442. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  443. Gates,Stephen M.; Grill,Alfred; Medeiros,David R.; Neumayer,Deborah; Nguyen,Son Van; Patel,Vishnubhai V.; Wang,Xinhui, Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made.
  444. Miyajima, Hideshi, Method for fabricating semiconductor device.
  445. Iyer,R. Suryanarayanan; Tandon,Sanjeev, Method for fabricating silicon nitride spacer structures.
  446. Nakano, Akinori; Ueda, Shintaro, Method for filling recesses using pre-treatment with hydrocarbon-containing gas.
  447. Tsuji, Naoto; Fukazawa, Atsuki; Takamure, Noboru; Haukka, Suvi; Niskanen, Antti Juhani; Park, Hyung Sang, Method for forming Si-containing film using two precursors by ALD.
  448. Ishikawa, Dai; Matsushita, Kiyohiro; Nakano, Akinori; Ueda, Shintaro; Arai, Hirofumi, Method for forming SiOCH film using organoaminosilane annealing.
  449. Takamure, Noboru; Okabe, Tatsuhiro, Method for forming Ti-containing film by PEALD using TDMAT or TDEAT.
  450. Vidya S. Kaushik, Method for forming a high dielectric constant material.
  451. Lee,Geun Su; Bok,Cheol Kyu, Method for forming a photoresist pattern.
  452. Kim, Young-Seok; Hyung, Yong-Woo; Kang, Man-Sung; Ahn, Jae-Young, Method for forming a thin film using an atomic layer deposition (ALD) process.
  453. Tsukamoto Katsuhiro (Hyogo JPX) Tokui Akira (Hyogo JPX), Method for forming a thin layer on a semiconductor substrate and apparatus therefor.
  454. Jeon,Joong S.; Clark Phelps,Robert B.; Xiang,Qi; Zhong,Huicai, Method for forming a thin, high quality buffer layer in a field effect transistor and related structure.
  455. Shiba, Eiichiro, Method for forming aluminum nitride-based film by PEALD.
  456. Fukazawa, Atsuki; Fukuda, Hideaki, Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group.
  457. Winkler, Jereld Lee, Method for forming conformal carbon films, structures conformal carbon film, and system of forming same.
  458. Beynet, Julien; Raaijmakers, Ivo; Fukazawa, Atsuki, Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment.
  459. Tsuji, Naoto; Matsushita, Kiyohiro; Kato, Manabu; Takamure, Noboru, Method for forming dielectric SiOCH film having chemical stability.
  460. Fukazawa, Atsuki; Fukuda, Hideaki; Takamure, Noboru; Zaitsu, Masaru, Method for forming dielectric film in trenches by PEALD using H-containing gas.
  461. Tsuji, Naoto, Method for forming dielectric film using porogen gas.
  462. Fukazawa, Atsuki; Lee, Woo Jin; Matsuki, Nobuo, Method for forming dielectric film using siloxane-silazane mixture.
  463. Nonaka, Yuya; Shoji, Fumitaka; Arai, Hiroki, Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power.
  464. Fukazawa, Atsuki; Fukuda, Hideaki, Method for forming film filled in trench without seam or void.
  465. Kimura, Yosuke; de Roest, David, Method for forming film having low resistance and shallow junction depth.
  466. Fukazawa, Atsuki; Matsuki, Nobuo; Ha, Jeongseok, Method for forming inorganic silazane-based dielectric film.
  467. Fukazawa, Atsuki; Kagami, Kenichi, Method for forming insulation film.
  468. Fukazawa,Atsuki; Matsuki,Nobuo; Umemoto,Seijiro, Method for forming insulation film.
  469. Fukazawa, Atsuki; Fukuka, Hideaki, Method for forming insulation film using non-halide precursor having four or more silicons.
  470. Hsieh, Julian J.; Kobayashi, Nobuyoshi; Shimizu, Akira; Matsushita, Kiyohiro; Fukazawa, Atsuki, Method for forming interconnect structure having airgap.
  471. Tsuji, Naoto; Shoji, Fumitaka, Method for forming layer constituted by repeated stacked layers.
  472. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  473. Chang Auck Choi KR; Chi Hoon Jun KR; Won Ick Jang KR; Yun Tae Kim KR, Method for forming micro cavity.
  474. Fukazawa, Atsuki; Fukuda, Hideaki, Method for forming multi-element thin film constituted by at least five elements by PEALD.
  475. Lim, Jung-wook; Yun, Sun-jin, Method for forming nitrogen-containing oxide thin film using plasma enhanced atomic layer deposition.
  476. Nakano, Ryu; Inoue, Naoki, Method for forming oxide film by plasma-assisted processing.
  477. Fukazawa, Atsuki; Ha, Jeongseok; Matsuki, Nobuo, Method for forming silazane-based dielectric film.
  478. Ishikawa, Dai; Fukazawa, Atsuki, Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches.
  479. Namba, Kunitoshi, Method for forming silicon oxide cap layer for solid state diffusion process.
  480. Fukazawa, Atsuki; Oka, Takahiro, Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control.
  481. Ranish, Joseph M.; Singh, Kaushal K., Method for forming silicon-containing materials during a photoexcitation deposition process.
  482. Singh, Kaushal K.; Ranish, Joseph M., Method for forming silicon-containing materials during a photoexcitation deposition process.
  483. Oka, Takahiro; Shimizu, Akira, Method for forming single-phase multi-element film by PEALD.
  484. Clark, Robert D., Method for forming strained silicon nitride films and a device containing such films.
  485. Benchikha Hacene ; Takagi Koji ; Rivard John, Method for hardening a photoresist material formed on a substrate.
  486. Kobayashi, Akiko; Nakano, Akinori; Ishikawa, Dai; Matsushita, Kiyohiro, Method for hydrophobization of surface of silicon-containing film by ALD.
  487. Kao Chien-Teh ; Tsai Kenneth ; Pham Quyen ; Rose Ronald L. ; Augason Calvin R. ; Yudovsky Joseph, Method for improved remote microwave plasma source for use with substrate processing system.
  488. Hausmann, Dennis; Sims, James S.; Antonelli, Andrew; Varadarajan, Sesha; Schravendijk, Bart Van, Method for improving process control and film conformality of PECVD film.
  489. Liu, Junjun; Toma, Dorel I.; Yue, Hongyu, Method for integrating low-k dielectrics.
  490. Conti, Richard A.; Edelstein, Daniel C.; Lee, Gill Yong, Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications.
  491. Conti,Richard A.; Edelstein,Daniel C.; Lee,Gill Yong, Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications.
  492. Matsushita,Kiyohiro; Kagami,Kenichi, Method for managing UV irradiation for curing semiconductor substrate.
  493. Gros-Jean, Mickael, Method for manufacturing a polycrystalline dielectric layer.
  494. Kato, Yoshihiro; Kashiwagi, Yusaku; Matsumoto, Takashi, Method for manufacturing semiconductor device, semiconductor device, semiconductor manufacturing apparatus and storage medium.
  495. Schmitt ; III Jerome J. (New Haven CT) Halpern Bret L. (Bethany CT), Method for microwave plasma assisted supersonic gas jet deposition of thin films.
  496. Robertson, Philip Keith; Brook, John Charles; Bruce, Stephen Robert, Method for non-linear document conversion and printing.
  497. Shiba, Eiichiro, Method for performing uniform processing in gas system-sharing multiple reaction chambers.
  498. Yamagishi, Takayuki; Suwada, Masaei; Tanaka, Hiroyuki, Method for positioning wafers in multiple wafer transport.
  499. Kouvetakis,John; Bauer,Matthew; Tolle,John; Cook,Candi, Method for preparing GeSnE(E=P, As, Sb) semiconductors and related Si-Ge-Sn-E and Si-Ge-E analogs.
  500. Kuo-Liang Lu TW; Yung-Chih Yao TW, Method for preventing contamination in a plasma process chamber.
  501. Kanai Masahiro (Tokyo JPX) Hanna Jun-Ichi (Yokohama JPX) Shimizu Isamu (Yokohama JPX), Method for producing an electronic device having a multi-layer structure.
  502. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  503. Huyghebaert, Cedric; Vaes, Jan; Van Olmen, Jan, Method for producing interconnect structures for integrated circuits.
  504. Kato, Richika; Nakano, Ryu, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  505. Kato, Richika; Okuro, Seiji; Namba, Kunitoshi; Nonaka, Yuya; Nakano, Akinori, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  506. {hacek over (S)}kutchanová, Zuzana, Method for providing a grinding surface on glass implements used in personal care.
  507. Nakano, Akinori, Method for reducing dielectric constant of film using direct plasma of hydrogen.
  508. Mori, Yukihiro, Method for reducing particle generation at bevel portion of substrate.
  509. Frohberg, Kai; Grimm, Volker; Mueller, Sven; Lehr, Matthias; Richter, Ralf; Klais, Jochen; Mazur, Martin; Salz, Heike; Hohage, Joerg; Schaller, Matthias, Method for reducing resist poisoning during patterning of silicon nitride layers in a semiconductor device.
  510. Liu, Junjun; Toma, Dorel I.; Lee, Eric M., Method for removing a pore-generating material from an uncured low-k dielectric film.
  511. Kobayashi, Akiko; Kimura, Yosuke; Ishikawa, Dai; Matsushita, Kiyohiro, Method for repairing damage of dielectric film by cyclic processes.
  512. Kimura, Yosuke, Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation.
  513. Matsushita, Kiyohiro; Arai, Hirofumi, Method for restoring porous surface of dielectric layer by UV light-assisted ALD.
  514. Nakano, Ryu; Makino, Tsutomu; Takamizawa, Hisashi, Method for stabilizing plasma ignition.
  515. Nakano, Ryu; Adachi, Wataru, Method for stabilizing reaction chamber pressure.
  516. Ishikawa, Dai; Matsushita, Kiyohiro, Method for supplying gas with flow rate gradient over substrate.
  517. van Driel,Marinus A., Method for the automatic generation of an interactive electronic equipment documentation package.
  518. Spitsberg Irene T. ; Wang Hongyu ; Heidorn Raymond W., Method for thermally spraying crack-free mullite coatings on ceramic-based substrates.
  519. Nakano, Akinori; Ueda, Shintaro; Ishikawa, Dai; Matsushita, Kiyohiro, Method for treating SiOCH film with hydrogen plasma.
  520. Schaeffer,James K.; Roan,Darrell; Triyoso,Dina H.; Adetutu,Olubunmi O., Method for treating a semiconductor surface to form a metal-containing layer.
  521. Singh, Kaushal K.; Seutter, Sean M.; Smith, Jacob; Iyer, R. Suryanarayanan; Ghanayem, Steve G.; Brailove, Adam; Shydo, Robert; Morin, Jeannot, Method for treating substrates and films with photoexcitation.
  522. Haukka, Suvi; Shero, Eric James; Alokozai, Fred; Li, Dong; Winkler, Jereld Lee; Chen, Xichong, Method for treatment of deposition reactor.
  523. Isii, Yoshihiro; Nakano, Ryu; Inoue, Naoki, Method for trimming carbon-containing film at reduced trimming rate.
  524. Brown Timothy R., Method for wide range gas flow system with real time flow measurement and correction.
  525. Chapple-Sokol Jonathan Daniel (Poughkeepsie NY) Conti Richard Anthony (Mt. Kisco NY) O\Neill James Anthony (New City NY) Sarma Narayana V. (Verbank NY) Wilson Donald Leslie (New Windsor NY) Wong Just, Method of aluminum oxide low pressure chemical vapor deposition (LPCVD) system-fourier transform infrared (FTIR) source.
  526. Strang, Eric J., Method of and apparatus for tunable gas injection in a plasma processing system.
  527. Zaitsu, Masaru, Method of atomic layer etching using functional group-containing fluorocarbon.
  528. Arai,Hirofumi; Fukuda,Hideaki, Method of cleaning CVD equipment processing chamber.
  529. Matsushita, Kiyohiro; Fukuda, Hideaki; Kagami, Kenichi, Method of cleaning UV irradiation chamber.
  530. Raniere Frederick D. (Northridge CA) Schuman Merlin D. (Canoga Park CA), Method of controlling pyrolysis temperature.
  531. Zaitsu, Masaru; Kobayashi, Nobuyoshi; Kobayashi, Akiko; Hori, Masaru; Kondo, Hiroki; Tsutsumi, Takayoshi, Method of cyclic dry etching using etchant film.
  532. Yun-sook Chae KR; In-sang Jeon KR; Sang-bom Kang KR; Sang-in Lee KR; Kyu-wan Ryu KR, Method of delivering gas into reaction chamber and shower head used to deliver gas.
  533. Haukka, Suvi; Huotari, Hannu, Method of depositing barrier layer for metal gates.
  534. Fukazawa, Atsuki, Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen.
  535. Fukazawa, Atsuki; Takamure, Noboru, Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen.
  536. Lee, Woo Jin; Hong, Kuo-Wei; Shimizu, Akira; Jeong, Daekyun, Method of depositing dielectric film by modified PEALD method.
  537. Lee, Woo Jin; Hong, Kuo-Wei; Shimizu, Akira; Jeong, Deakyun, Method of depositing dielectric film having Si-N bonds by modified peald method.
  538. Ueda, Shintaro, Method of depositing film by atomic layer deposition with pulse-time-modulated plasma.
  539. Ha, Jeongseok, Method of depositing film with tailored comformality.
  540. Oka, Takahiro; Shimizu, Akira, Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature.
  541. Pasch Nicholas F. (Pacifica CA) Choudhury Ratan (Milpitas CA), Method of doping metal layers for electromigration resistance.
  542. Ramkumar, Krishnaswamy; Shih, Hui-Mei (Mei), Method of fabricating a charge-trapping gate stack using a CMOS process flow.
  543. Tamura Muneo,JPX ; Yamauchi Takeshi,JPX ; Niwa Katuhide,JPX ; Fukazawa Takeshi,JPX ; Kuroyanagi Akira,JPX, Method of fabricating a semiconductor device having a silicon nitride film made of silane, ammonia and nitrogen.
  544. Jeong, Ji-Hyun; Oh, Jae-Hee; Park, Jae-Hyun, Method of fabricating nonvolatile memory device.
  545. Beulens,Jacobus Johannes; Wan,Yuet Mei, Method of fabricating silicon nitride nanodots.
  546. Goundar, Kamal Kishore, Method of forming a high transparent carbon film.
  547. Ishizaka, Tadahiro, Method of forming a metal carbide or metal carbonitride film having improved adhesion.
  548. Sadoway Donald R. (Belmont MA) Singh Rana P. (White Fish Bay WI), Method of forming a metal coating on a substrate.
  549. Ma, Yanjun; Ono, Yoshi, Method of forming a multilayer dielectric stack.
  550. Knaepen, Werner; Maes, Jan Willem; Jongbloed, Bert; Kachel, Krzysztof Kamil; Pierreux, Dieter; De Roest, David Kurt, Method of forming a structure on a substrate.
  551. Lim, Jung Wook; Yun, Sun Jin; Lee, Jin Ho, Method of forming a thin film in a semiconductor device.
  552. Lee, Woo Jin; Shimizu, Akira, Method of forming conformal dielectric film having Si-N bonds by PECVD.
  553. Lee, Woo Jin; Shimizu, Akira, Method of forming conformal dielectric film having Si-N bonds by PECVD.
  554. Lee, Woo-Jin; Shimizu, Akira; Fukazawa, Atsuki, Method of forming conformal dielectric film having Si-N bonds by PECVD.
  555. Hong, Kuo-wei; Shimizu, Akira; Namba, Kunitoshi; Lee, Woo-Jin, Method of forming conformal film having si-N bonds on high-aspect ratio pattern.
  556. Dussarrat, Christian; Blasco, Nicolas; Pinchart, Audrey; Lachaud, Christophe, Method of forming dielectric films, new precursors and their use in semiconductor manufacturing.
  557. Fukuda Hideaki,JPX ; Arai Hiroki,JPX ; Yoshizaki Yu,JPX, Method of forming film on semiconductor substrate in film-forming apparatus.
  558. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Method of forming graded thin films using alternating pulses of vapor phase reactants.
  559. Lee, Woo-Jin; Fukazawa, Atsuki, Method of forming highly conformal amorphous carbon layer.
  560. Ryu,Choon Kun; Kim,Tae Kyung, Method of forming insulating layer in semiconductor device.
  561. Kobayashi, Akiko; Shimizu, Akira; Hong, Kuo-wei; Kobayashi, Nobuyoshi; Fukazawa, Atsuki, Method of forming insulation film using plasma treatment cycles.
  562. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  563. Fukuda, Hideaki, Method of forming metal oxide hardmask.
  564. Ha, Jeongseok; Fukuda, Hideaki; Kaido, Shintaro, Method of forming metal oxide hardmask.
  565. Ishii, Katsutoshi; Miura, Kazutoshi, Method of forming oxynitride film or the like and system for carrying out the same.
  566. Chung, Yun-Mo; Lee, Ki-Yong; Jeong, Min-Jae; Seo, Jin-Wook; Hong, Jong-Won; Na, Heung-Yeol; Kang, Eu-Gene; Chang, Seok-Rak; Yang, Tae-Hoon; Ahn, Ji-Su; Kim, Young-Dae; Park, Byoung-Keon; Lee, Kil-Won; Lee, Dong-Hyun; Yoon, Sang-Yon; Park, Jong-Ryuk; Choi, Bo-Kyung; Lisachenko, Maxim, Method of forming polycrystalline silicon layer and atomic layer deposition apparatus used for the same.
  567. Wei, An Chyi, Method of forming self-aligned contacts and local interconnects.
  568. Beynet, Julien; Park, Hyung Sang; Inoue, Naoki, Method of forming semiconductor patterns.
  569. Kwan, Kim Yeong; Wook, Park Young; Won, Lee Joo; Chan, Kim Dong, Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3.
  570. Yeong-Kwan Kim KR; Young-Wook Park KR; Seung-Hwan Lee KR, Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane.
  571. Lee, Woo-Jin; Hong, Kuo-Wei; Shimuzu, Akira, Method of forming stress-tuned dielectric film having Si-N bonds by modified PEALD.
  572. Aoyama Masaharu (Fujisawa JPX) Abe Masahiro (Yokohama JPX), Method of forming trench buried wiring for semiconductor device.
  573. Tois, Eva; Haukka, Suvi; Tuominen, Marko, Method of growing oxide thin films.
  574. Wolson Raymond D. (Lockport IL) McPheeters Charles C. (Plainfield IL), Method of handling radioactive alkali metal waste.
  575. Shufflebotham Paul Kevin ; Weise Mark, Method of high density plasma CVD gap-filling.
  576. Barnes Michael S. (San Francisco CA) Yasuda Arthur Kenichi (Belmont CA), Method of in situ cleaning a vacuum plasma processing chamber.
  577. Dhindsa, Rajinder, Method of joining components for a composite showerhead electrode assembly for a plasma processing apparatus.
  578. Suzuki Gyoji (Asaka JA) Nagata Masayoshi (Asaka JA) Tomotsu Takeshi (Asaka JA) Ono Hisatake (Asaka JA), Method of making a semiconductor device utilizing a light-sensitive etching agent.
  579. Agarwala, Birendra N.; Dalal, Hormazdyar Minocher; Liniger, Eric G.; Llera-Hurlburt, Diana; Nguyen, Du Binh; Procter, Richard W.; Rathore, Hazara Singh; Tian, Chunyan E.; Engel, Brett H., Method of making an edge seal for a semiconductor device.
  580. Sandow Peter M. (Sunnyvale CA) Chin Barry L. (San Diego CA), Method of making low resistance polysilicon gate transistors and low resistance interconnections therefor via gas deposi.
  581. Wu,Chii Ming; Tsai,Ming Hsing; Hsieh,Ching Hua; Shue,Shau Lin, Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology.
  582. Tsuneda, Masayuki; Itatani, Hideharu, Method of manufacturing a semiconductor.
  583. Sawada Shigeki (Souraku JPX), Method of manufacturing a semiconductor device.
  584. Sugiyama, Naoharu; Kurobe, Atsushi; Tezuka, Tsutomu; Mizuno, Tomohisa; Takagi, Shinichi, Method of manufacturing a substrate using an SiGe layer.
  585. Liang,Chieh Shuo; Tzeng,Pei Jer; Lee,Heng Yuan; Lee,Lurng Shehng, Method of manufacturing charge storage device.
  586. Min,Yo sep; Bae,Eun ju; Choi,Won bong; Cho,Young jin; Lee,Jung hyun, Method of manufacturing inorganic nanotube.
  587. Isobe, Noriyuki; Takebayashi, Yuji; Suzaki, Kenichi; Kasai, Takeshi; Hirano, Atsushi; Oikawa, Koichi, Method of manufacturing semiconductor device.
  588. Yuasa, Kazuhiro; Akae, Naonori; Terasaki, Masato, Method of manufacturing semiconductor device, method of processing substrate and non-transitory computer readable recording medium.
  589. Akae, Naonori; Hirose, Yoshiro; Takasawa, Yushin; Ota, Yosuke; Sasajima, Ryota, Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus.
  590. Akae, Naonori; Hirose, Yoshiro; Takasawa, Yushin; Ota, Yosuke; Sasajima, Ryota, Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus.
  591. Kim, Sang Deok, Method of manufacturing semiconductor devices.
  592. Streck, Christof; Kahlert, Volker, Method of manufracturing increasing reliability of copper-based metallization structures in a microstructure device by using aluminum nitride.
  593. Shelton, IV, Frederick E.; Yates, David C.; Harris, Jason L.; Morgan, Jerome R., Method of operating a powered surgical instrument.
  594. Adachi, Wataru; Ha, Jeongsok, Method of parallel shift operation of multiple reactors.
  595. Terasaki Masato,JPX ; Tsutsuguchi Kazunori,JPX, Method of plasma processing a substrate placed on a substrate table.
  596. Blanquart, Timothee Julien Vincent, Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas.
  597. Fukazawa, Atsuki, Method of plasma-enhanced atomic layer etching.
  598. Tipton,Adrianne K.; Lu,Brian G.; Van Cleemput,Patrick A.; Schulberg,Michelle T.; Wu,Qingguo; Fu,Haiying; Wang,Feng, Method of porogen removal from porous low-k films using UV radiation.
  599. Higuchi Hiroshi,JPX ; Hanafusa Akira,JPX ; Omura Kuniyoshi,JPX ; Murozono Mikio,JPX ; Oyama Hideaki,JPX, Method of preparing a semiconductor layer for an optical transforming device.
  600. Van Bilsen Franciscus Bernardus Maria ; Layton Jason Mathew ; Raaijmakers Ivo, Method of processing wafers with low mass support.
  601. Haukka, Suvi; Huotari, Hannu, Method of producing thin films.
  602. Price J. B. (Scottsdale AZ) Tobin Philip J. (Scottsdale AZ) Pintchovski Fabio (Mesa AZ) Seelbach Christian A. (San Jose CA), Method of producing titanium nitride MOS device gate electrode.
  603. Ishikawa, Tetsuya; Demos, Alexandros T.; Cho, Seon-Mee; Gao, Feng; Niazi, Kaveh F.; Aruga, Michio, Method of reducing plasma charge damage for plasma processes.
  604. Hoshino, Katsutoshi; Kato, Masahide; Umetsu, Yasuhiro; Imafuku, Kosuke, Method of refurbishing a quartz glass component.
  605. Chang, Ting-Chang; Liu, Po-Tsun; Mor, Yi-Shien, Method of repairing a low dielectric constant material layer.
  606. Papasouliotis, George D.; Buretea, Mihai; Mui, Collin, Method of selective coverage of high aspect ratio structures with a conformal film.
  607. Jerbic Chris (Fremont CA), Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation.
  608. Onizawa, Shigeyuki; Lee, Woo-Jin; Fukuda, Hideaki; Namba, Kunitoshi, Method of tailoring conformality of Si-containing film.
  609. Chan,Bor Wen; Huang,Yi Chun; Perng,Baw Ching; Tao,Hun Jan, Method of trimming technology.
  610. Conley, Jr., John F.; Ono, Yoshi, Method to control the interfacial layer for deposition of high dielectric constant films.
  611. Todd,Michael A.; Weeks,Keith D.; Werkhoven,Christiaan J.; Pomarede,Christophe F., Method to form ultra high quality silicon-containing compound layers.
  612. Balseanu, Mihaela; Nguyen, Victor; Xia, Li-Qun; Witty, Derek R.; M'Saad, Hichem; Shek, Mei-Yee; Roflox, Isabelita, Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure.
  613. Balseanu, Mihaela; Cox, Michael S.; Xia, Li-Qun; Shek, Mei-Yee; Lee, Jia; Zubkov, Vladimir; Huang, Tzu-Fang; Wang, Rongping; Roflox, Isabelita; M'Saad, Hichem, Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure.
  614. Xu, Huiwen; Shek, Mei-Yee; Xia, Li-Qun; Al-Bayati, Amir; Witty, Derek; M'Saad, Hichem, Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics.
  615. Vollkommer Frank (Gauting DEX) Hitzschke Lothar (Munich DEX), Method to operate an incoherently emitting radiation source having at least one dielectrically impeded electrode.
  616. Zhang,Zhihong; Nguyen,Tai Dung; Nguyen,Tue, Method to plasma deposit on organic polymer dielectric film.
  617. Chih-Hsing Yu TW; Yu-Shen Chen TW, Method to reduce bit line capacitance in cub drams.
  618. Kaloyeros, Alain E.; Knorr, Andres; Faltermeier, Jonathan, Methodology for in-situ doping of aluminum coatings.
  619. Singh, Harmeet, Methods and apparatus for atomic layer etching.
  620. Li-Qun Xia ; Visweswaren Sivaramakrishnan ; Srinivas Nemani ; Ellie Yieh ; Gary Fong, Methods and apparatus for gettering fluorine from chamber material surfaces.
  621. McMillan Larry D. (Colorado Springs CO) Paz de Araujo Carlos A. (Colorado Springs CO), Methods and apparatus for material deposition.
  622. Berrian Donald W., Methods and apparatus for measuring temperatures at high potential.
  623. Lee Changhun ; Singh Vikram ; Yang Yun-Yen Jack, Methods and apparatus for passivating a substrate in a plasma reactor.
  624. Zheng,Lingyi A.; Doan,Trung T.; Breiner,Lyle D.; Ping,Er Xuan; Beaman,Kevin L.; Weimer,Ronald A.; Kubista,David J.; Basceri,Cem, Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces.
  625. Sims, James S.; Kelchner, Kathryn M.; Henri, Jon; Hausmann, Dennis M., Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD.
  626. Mills, Robert L., Methods and systems for dimmable fluorescent lighting using multiple frequencies.
  627. Chang, Mei; Yudovsky, Joseph, Methods for atomic layer etching.
  628. Kang, Hu; Kim, Wanki; LaVoie, Adrien, Methods for depositing silicon oxide.
  629. Haukka, Suvi; Pore, Viljami J.; Niskanen, Antti, Methods for depositing thin films comprising gallium nitride by atomic layer deposition.
  630. Liang, Jingmei, Methods for forming a dielectric layer within trenches.
  631. Takamure, Noboru; Fukazawa, Atsuki; Fukuda, Hideaki; Niskanen, Antti; Haukka, Suvi; Nakano, Ryu; Namba, Kunitoshi, Methods for forming doped silicon oxide thin films.
  632. Takamure, Noboru; Fukazawa, Atsuki; Fukuda, Hideaki; Niskanen, Antti; Haukka, Suvi; Nakano, Ryu; Namba, Kunitoshi, Methods for forming doped silicon oxide thin films.
  633. Takamure, Noboru; Fukazawa, Atsuki; Fukuda, Hideaki; Niskanen, Antti; Haukka, Suvi; Nakano, Ryu; Namba, Kunitoshi, Methods for forming doped silicon oxide thin films.
  634. Takamure, Noboru; Fukazawa, Atsuki; Fukuda, Hideaki; Niskanen, Antti; Haukka, Suvi; Nakano, Ryu; Namba, Kunitoshi, Methods for forming doped silicon oxide thin films.
  635. Alessandro Cesare Callegari ; Fuad Elias Doany ; Evgeni Petrovich Gousev ; Theodore Harold Zabel, Methods for forming metal oxide layers with enhanced purity.
  636. Guffrey,Erik; Allison,Gerrad S., Methods for forming nanofiber adhesive structures.
  637. Cruse, James P.; Cantwell, Dermot; Xu, Ming; Hardy, Charles; Schwarz, Benjamin; Collins, Kenneth S.; Nguyen, Andrew; Sui, Zhifeng; Lee, Evans, Methods for processing substrates in process systems having shared resources.
  638. Vrtis, Raymond Nicholas; O'Neill, Mark Leonard; Vincent, Jean Louise; Lukas, Aaron Scott; Xiao, Manchao; Norman, John Anthony Thomas, Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants.
  639. Park,In Sung; Chung,Jeong Hee; Yeo,Jae Hyun, Methods of forming atomic layers of a material on a substrate by sequentially introducing precursors of the material.
  640. Tolle, John, Methods of forming films including germanium tin and structures and devices including the films.
  641. Margetis, Joe; Tolle, John, Methods of forming highly p-type doped germanium tin films and structures and devices including the films.
  642. Margetis, Joe; Tolle, John, Methods of forming silicon germanium tin films and structures and devices including the films.
  643. Seung-hwan Lee KR; Yeong-kwan Kim KR; Dong-chan Kim KR; Young-wook Park KR, Methods of forming thin films by atomic layer deposition.
  644. Baek, Jong-Min; Rha, Sang-Ho; You, Woo-Kyung; Ahn, Sang-Hoon; Lee, Nae-In; Kim, Ki-Chul; Lee, Jeon-Il, Methods of forming wiring structures.
  645. Marsh, Eugene P., Methods of selectively forming a material using parylene coating.
  646. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  647. Lue Brian ; Hegedus Andreas G. ; Jennings Dean ; Siu Candy Ching-Fai, Micro grooved support surface for reducing substrate wear and slip formation.
  648. Vanderpot John W. ; Pollock John D., Microfeature wafer handling apparatus and methods.
  649. Anderson Robert A. (Albuquerque NM) Seager Carleton H. (Albuquerque NM), Micromachined silicon electrostatic chuck.
  650. Gage, Christopher; Pomeroy, Charles E.; Cohen, David; Kalyanasundaram, Nagarajan, Minimum contact area wafer clamping with gas flow for rapid wafer cooling.
  651. Bright Nick ; Mooring Ben, Modular architecture for semiconductor wafer fabrication equipment.
  652. Rubin Richard H. (Fairfield NJ) Petrone Benjamin J. (Netcong NJ) Heim Richard C. (Mountain View CA) Pawenski Scott M. (Wappingers Falls NY), Modular processing apparatus for processing semiconductor wafers.
  653. Schumaier Daniel R., Moisture sensitive item drying appliance.
  654. Stephens William D. (Clearwater FL), Mold release composition with polybutadiene and method of coating a mold core.
  655. Snyders Robert V. (31 W. Brentmoor County of St. Louis MO 63105), Mortar joint pointing guide.
  656. Cortes Nestor E. (Wilton CT), Mounting clip for a thermocouple assembly.
  657. Weigensberg, Aaron Arye, Mouthpiece plug for electronic cigarette.
  658. Goldsmith Forest S. (Newton MA) Waugh Arthur (Winchester MA), Movable core fast cool-down furnace.
  659. Woldy Paul N. (Houston TX) Stevenson John S. (Los Angeles CA) Preston William E. (Houston TX), Multi-element thermocouple.
  660. Ciaravino Joseph (Huntington Woods MI) Stryker Peter (Lewisburg PA), Multi-point temperature probe.
  661. Liu, Junjun; Lee, Eric M.; Toma, Dorel I., Multi-step system and method for curing a dielectric film.
  662. Liu, Junjun; Lee, Eric M.; Toma, Dorel L., Multi-step system and method for curing a dielectric film.
  663. Liu, Junjun; Lee, Eric M.; Toma, Dorel L., Multi-step system and method for curing a dielectric film.
  664. Liu, Junjun; Lee, Eric M.; Toma, Dorel L., Multi-step system and method for curing a dielectric film.
  665. Johnson, Wayne L.; Strang, Eric J., Multi-zone resistance heater.
  666. Matsumoto,Takayuki; Hosokawa,Akihiro, Multiple section end effector assembly.
  667. Li Weimin ; Sandhu Gurtej S., Multiple step methods for forming conformal layers.
  668. Maishev Yuri,RUX ; Ritter James ; Velikov Leonid ; Shkolnik Alexander, Multiple-cell source of uniform plasma.
  669. Shinmen, Hiroshi; Weger, Robert, Multiple-light discharge lamp lighting device.
  670. Conley, Jr.,John F.; Ono,Yoshi; Solanki,Rajendra, Nanolaminate film atomic layer deposition method.
  671. Ditizio, Robert Anthony; Nguyen, Tue; Nguyen, Tai Dung, Nanolayer deposition using bias power treatment.
  672. Nguyen, Tue; Nguyen, Tai Dung, Nanolayer thick film processing system and method.
  673. Clark, Robert D., Nitrogen profile engineering in nitrided high dielectric constant films.
  674. Zhi-Fan Zhang ; David Pung ; Nitin Khurana ; Hong Zhang ; Roderick Craig Mosely, Nitrogen treatment of a metal nitride/metal stack.
  675. Stark Mark M. (Kamakura CA JPX) Warenback Douglas H. (San Rafael CA) Drage David J. (Sebastopol CA), Non-uniform gas inlet for dry etching apparatus.
  676. Sakuma, Kiwamu; Kinoshita, Atsuhiro; Kiyotoshi, Masahiro; Hagishima, Daisuke; Muraoka, Koichi, Nonvolatile semiconductor memory.
  677. Yueh,Wang; Cao,Heidi, One component EUV photoresist.
  678. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  679. Ferguson Charles H. ; Forgaard Randy J., Online service development tool with fee setting capabilities.
  680. Jeswine, William, Opposed piston internal combustion engine with inviscid layer sealing.
  681. Vincent, Jean Louise; O'Neill, Mark Leonard; Withers, Jr., Howard Paul; Beck, Scott Edward; Vrtis, Raymond Nicholas, Organosilicon precursors for interlayer dielectric films with low dielectric constants.
  682. Wallgren Linus E. (Rockville MD), Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards.
  683. Jang, Hyun Soo; Lee, Jeong Ho; Kim, Young Hoon, Outer wall of reactor for semiconductor manufacturing apparatus.
  684. Davidson William E. (Scarborough CAX), Ozone generator with in-line ozone sensor.
  685. Wu, Qingguo; Srinivasan, Easwar; Vitkavage, Dan, PECVD methods for producing ultra low-k dielectric films using UV treatment.
  686. Rajagopalan, Nagarajan; Han, Xinhai; Park, Ji Ae; Kiyohara, Tsutomu; Park, Sohyun; Kim, Bok Hoen, PECVD oxide-nitride and oxide-silicon stacks for 3D memory application.
  687. Varadarajan,Bhadri N.; Sims,James S.; Singhal,Akhil, PMOS transistor with compressive dielectric capping layer.
  688. Templeton, Michael K., Parallel plate development with multiple holes in top plate for control of developer flow and pressure.
  689. Lee, Geun Su; Jung, Jae Chang; Jung, Min Ho; Baik, Ki Ho, Partially crosslinked polymer for bilayer photoresist.
  690. Lee, Geun Su; Jung, Jae Chang; Jung, Min Ho; Baik, Ki Ho, Partially crosslinked polymer for bilayer photoresist.
  691. Guo Xin Sheng ; Koai Keith ; Chen Ling ; Bhan Mohan K. ; Zheng Bo, Pattern of apertures in a showerhead for chemical vapor deposition.
  692. Fodor Mark A. (Los Gatos CA) Bercaw Craig A. (Sunnyvale CA) Dornfest Charles (Fremont CA), Patterned susceptor to reduce electrostatic force in a CVD chamber.
  693. Scully ; Jr. Daniel F., Pelletization of metal soap powders.
  694. Sneh, Ofer, Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems.
  695. Milligan, Robert B., Periodic plasma annealing in an ALD-type process.
  696. Whitford Robert P. (Fitchburg MA), Permanent magnet floating shaft seal.
  697. Toll, Carolyn Grace, Pet outfit.
  698. Milligan, Robert Brennan; Alokozai, Fred, Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same.
  699. Yamazaki Shunpei (Tokyo JPX) Hayashi Shigenori (Atsugi JPX) Inujima Takashi (Atsugi JPX) Hirose Naoki (Atsugi JPX), Photo CVD apparatus with a glow discharge system.
  700. Iida Yoshinori (Tokyo JPX) Furukawa Akihiko (Tokyo JPX) Yamaguchi Tetsuya (Yokohama JPX) Sasaki Michio (Yokohama JPX) Ihara Hisanori (Yokohama JPX) Nozaki Hidetoshi (Yokohama JPX) Kamimura Takaaki (K, Photo-assisted CVD apparatus.
  701. Rhieu Ji H. (Mesa AZ), Photo-assisted chemical vapor deposition method.
  702. Agarwal, Vishnu K., Photo-assisted method for semiconductor fabrication.
  703. Agarwal,Vishnu K., Photo-assisted method for semiconductor fabrication.
  704. Agarwal, Vishnu K., Photo-assisted remote plasma apparatus and method.
  705. Sakuma, Takeshi, Photo-excited gas processing apparatus for semiconductor process.
  706. Yamazaki Shunpei (Tokyo JPX) Imatoh Shinji (Kanagawa JPX) Hayashi Shigenori (Kanagawa JPX), Photochemical vapor phase reaction apparatus and method of causing a photochemical vapor phase reaction.
  707. Ayothi, Ramakrishnan; Hinsberg, William D.; Swanson, Sally A.; Wallraff, Gregory M., Photodecomposable bases and photoresist compositions.
  708. Cuomo Jerome J. (Lincolndale NY) Guarnieri Charles R. (Somers NY), Photoelectric enhanced plasma glow discharge system and method including radiation means.
  709. Kusuda,Tatsufumi; Hosokawa,Akihiro; Murayama,Hiromi, Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor.
  710. Jae Chang Jung KR; Keun Kyu Kong KR; Myoung Soo Kim KR; Hyoung Gi Kim KR; Hyeong Soo Kim KR; Ki Ho Baik KR; Jin Soo Kim KR, Photoresist cross-linker and photoresist composition comprising the same.
  711. Romano, Andrew R.; Sadjadi, S. M. Reza, Photoresist double patterning.
  712. Keun Kyu Kong KR; Jae Chang Jung KR; Geun Su Lee KR; Ki Ho Baik KR, Photoresist monomers having stability to post exposure delay, polymers thereof and photoresist compositions containing the same.
  713. Lee, Geun Su; Jung, Jae Chang; Shin, Ki Soo; Choi, Se Jin; Kim, Deog Bae; Kim, Jae Hyun, Photoresist monomers, polymers and photoresist compositions for preventing acid diffusion.
  714. Choi, Jae Hak; Kim, Myoung Soo, Photoresist monomers, polymers thereof and photoresist compositions containing it.
  715. Choi, Jae Hak, Photoresist monomers, polymers thereof, and photoresist compositions containing the same.
  716. Jung,Jae Chang, Photoresist polymer and photoresist composition containing the same.
  717. Son,Min Seok, Photoresist polymer and photoresist composition containing the same.
  718. Rund, Richard; Charlety, Pierre; Wang, Ma Huai; Yong, Quan Zhi, Physical attribute recording method and system.
  719. Kluss, Bill; Morwood, Greg, Pipe end cap.
  720. Elkins,Alan R.; Elkins,Douglas P., Pipe flashing.
  721. Freeman Dean W. (San Diego CA) Burris James B. (Dallas TX) Davis Cecil J. (Greenville TX) Loewenstein Lee (Plano TX), Plasma and plasma UV deposition of SiO2.
  722. Fang, Zhiyuan; Subramonium, Pramod; Henri, Jon; Fox, Keith, Plasma clean method for deposition chamber.
  723. Misra Ashutosh, Plasma cleaning and etching methods using non-global-warming compounds.
  724. Chen Ching-Hwa (Milpitas CA) Arnett David (Fremont CA) Liu David (San Jose CA), Plasma cleaning method for removing residues in a plasma treatment chamber.
  725. Han, Qingyuan; Waldfried, Carlo; Escorcia, Orlando; Albano, Ralph; Berry, III, Ivan L.; Jang, Jeff; Ball, Ian, Plasma curing of MSQ-based porous low-k film materials.
  726. Albano, Ralph; Bargeron, Cory; Berry, III, Ivan L.; Bremmer, Jeff; Dembowski, Phil; Escorcia, Orlando; Han, Qingyuan; Sbrockey, Nick; Waldfried, Carlo, Plasma curing process for porous low-k materials.
  727. Berry, III, Ivan L.; Bridgewater, Todd; Chen, Wei; Han, Qingyuan; Moyer, Eric S.; Spaulding, Michael J.; Waldfried, Carlo, Plasma curing process for porous silica thin film.
  728. Os Ron van ; Durbin William J. ; Matthiesen Richard H. ; Fenske Dennis C. ; Ross Eric D., Plasma enchanced chemical method.
  729. van Os Ron ; Durbin William J. ; Matthiesen Richard H. ; Fenske Dennis C. ; Ross Eric D., Plasma enhanced chemical processing reactor.
  730. Ron van Os ; William J. Durbin ; Richard H. Matthiesen ; Dennis C. Fenske ; Eric D. Ross, Plasma enhanced chemical processing reactor and method.
  731. van Os Ron ; Durbin William J. ; Matthiesen Richard H. ; Fenske Dennis C. ; Ross Eric D., Plasma enhanced chemical processing reactor and method.
  732. Nguyen, Tue, Plasma enhanced pulsed layer deposition.
  733. Lee, Jeong Ho; Jeong, Sang Jin; Kim, Woo Chan, Plasma inducing plate for semiconductor deposition apparatus.
  734. Espiau, Frederick M.; McGettigan, Anthony D.; Chang, Yian; Espiau, legal representative, Frederick M.; DeVincentis, Marc, Plasma lamp and methods using a waveguide body and protruding bulb.
  735. Turner Terry R. (Austin TX) Spain James D. (Georgetown TX) Swyers John R. (Austin TX), Plasma monitoring and control method and system.
  736. Tolle, John; Goodman, Matthew G.; Vyne, Robert Michael; Hill, Eric R., Plasma pre-clean module and process.
  737. Tolle, John; Goodman, Matthew G.; Vyne, Robert Michael; Hill, Eric R., Plasma pre-clean module and process.
  738. Kumar,Devendra; Goundar,Kamal Kishore; Kemeling,Nathanael R. C.; Fukuda,Hideaki; Sprey,Hessel; Stokhof,Maarten, Plasma pre-treating surfaces for atomic layer deposition.
  739. Onodera, Naomi; Gokon, Kiyohiko; Sato, Jun, Plasma process apparatus and plasma process method.
  740. Masaki Hirayama JP; Tadahiro Ohmi JP; Tatsushi Yamamoto JP; Takamitsu Tadera JP, Plasma process device.
  741. Cheung David ; Yau Wai-Fan ; Mandal Robert P. ; Jeng Shin-Puu ; Liu Kuo-Wei ; Lu Yung-Cheng ; Barnes Michael ; Willecke Ralf B. ; Moghadam Farhad ; Ishikawa Tetsuya ; Poon Tze Wing, Plasma processes for depositing low dielectric constant films.
  742. Koshimizu Chishio,JPX, Plasma processing apparatus.
  743. Oyabu, Jun, Plasma processing apparatus.
  744. Koshiishi, Akira; Sugimoto, Masaru; Hinata, Kunihiko; Kobayashi, Noriyuki; Koshimizu, Chishio; Ohtani, Ryuji; Kibi, Kazuo; Saito, Masashi; Matsumoto, Naoki; Iwata, Manabu; Yano, Daisuke; Yamazawa, Yohei; Hanaoka, Hidetoshi; Hayami, Toshihiro; Yamazaki, Hiroki; Sato, Manabu, Plasma processing apparatus and method.
  745. Okita, Shogo; Asakura, Hiromi; Watanabe, Syouzou; Houchin, Ryuzou; Suzuki, Hiroyuki, Plasma processing apparatus and plasma processing method.
  746. Yasui, Naoki; Watanabe, Seiichi, Plasma processing method.
  747. Samukawa Seiji,JPX, Plasma processing method and equipment used therefor.
  748. Kohno, Masayuki; Sasaki, Masaru, Plasma processing method and film forming method.
  749. Bera, Kallol; Zhao, Xiaoye; Doan, Kenny L.; Gold, Ezra Robert; Brillhart, Paul Lukas; Geoffrion, Bruno; Pu, Bryan; Hoffman, Daniel J., Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone.
  750. Shan Hongching (San Jose CA), Plasma reactor with programmable reactant gas distribution.
  751. Kumihashi Takao (Kokubunji JPX) Tsujimoto Kazunori (Higashi-yamato JPX) Tachi Shinichi (Sayama JPX) Kanetomo Masafumi (Tokyo JPX) Kobayashi Junichi (Ushiku JPX) Usui Tatehito (Ibaraki JPX) Mise Nobuy, Plasma treatment method and apparatus.
  752. Mahajani, Maitreyee; Yudovsky, Joseph; McDougall, Brendan, Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool.
  753. Jung Jae Chang,KRX ; Kim Myoung Soo,KRX ; Kim Hyung Gi,KRX ; Roh Chi Hyeong,KRX ; Lee Geun Su,KRX ; Jung Min Ho,KRX ; Bok Cheol Kyu,KRX ; Baik Ki Ho,KRX, Polymer and a forming method of a micro pattern using the same.
  754. Jung Jae Chang,KRX ; Kim Myoung Soo,KRX ; Kim Hyung Gi,KRX ; Roh Chi Hyeong,KRX ; Lee Geun Su,KRX ; Jung Min Ho,KRX ; Bok Cheol Kyu,KRX ; Baik Ki Ho,KRX, Polymer and a forming method of a micro pattern using the same.
  755. Goodner, Michael D., Polymer sacrificial light absorbing structure and method.
  756. Roh, Chi Hyeong; Jung, Jae Chang, Polymers for photoresist and photoresist compositions using the same.
  757. Roh,Chi Hyeong; Jung,Jae Chang, Polymers for photoresist and photoresist compositions using the same.
  758. Wang, Anchuan; Chen, Xiaolin; Lee, Young S., Polysilicon films by HDP-CVD.
  759. Cho Chi-Chen (Richardson TX) Gnade Bruce E. (Dallas TX) Smith Douglas M. (Albuquerque NM), Porous dielectric material with improved pore surface properties for electronics applications.
  760. Cho Chih-Chen ; Gnade Bruce E. ; Smith Douglas M. ; Changming Jin ; Ackerman William C. ; Johnston Gregory C., Porous dielectric material with improved pore surface properties for electronics applications.
  761. Ryan Mimick ; Laura McColskey ; Denise Tedaldi, Portable writing surface.
  762. Tachikawa, Yuichi; Yasui, Kazumichi; Nakaso, Kiyoshi; Hattori, Kiyoshi; Okuya, Tsugiyuki; Mita, Makoto, Position measurement apparatus and method and pattern forming apparatus and writing method.
  763. Pavloski Andrew ; Sklyar Dmitry ; Rolny Andrej, Position sensor system for substrate holders.
  764. Takizawa, Masahiro; Suwada, Masaei, Position sensor system for substrate transfer robot.
  765. Ishizaka, Tadahiro; Ludviksson, Audunn, Post deposition plasma cleaning system and method.
  766. Cui,Zhenjiang; Chang,Josephine J.; Demos,Alexandros T.; Arghavani,Reza; Witty,Derek R.; Armer,Helen R.; Dixit,Girish A.; M'Saad,Hichem, Post treatment of low k dielectric films.
  767. Xia, Li-Qun; Gaillard, Frederic; Yieh, Ellie; Lim, Tian H., Post-deposition treatment to enhance properties of Si-O-C low K films.
  768. Xia,Li Qun; Gaillard,Frederic; Yieh,Ellie; Lim,Tian H., Post-deposition treatment to enhance properties of Si-O-C low k films.
  769. Bagley, William A.; Ramirez, Ericka M.; Wolgast, Stephen C., Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications.
  770. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E.; White, Carl L., Precursor delivery system.
  771. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E; White, Carl L, Precursor delivery system.
  772. Xiao,Manchao; Hochberg,Arthur Kenneth; Cuthill,Kirk Scott, Precursors for depositing silicon containing films and processes thereof.
  773. Lei, Xinjian; Norman, John Anthony Thomas, Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films.
  774. Mahajani,Maitreyee, Pretreatment processes within a batch ALD reactor.
  775. Kurs, Andre B.; McCauley, Alexander Patrick; Li, Qiang; Campanella, Andrew J., Printed resonator coil.
  776. Mailliet Pierre (Howald LUX) Lonardi Emile (Bascharage LUX) Wies Georges (Dudelange LUX), Probe for taking gas samples and heat measurements in a shaft furnace.
  777. Hardy James E. (Scotch Plains NJ) Knarr John J. (South Plainfield NJ), Process and apparatus for measuring gaseous fixed nitrogen species.
  778. Ikegaya Akihiko (Itami JPX) Fujimori Naoji (Itami JPX), Process and apparatus for the production of diamond.
  779. Jozef Brcka, Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element.
  780. Gilchrist, Ulysses; Olivera, Haniel; Fosnight, William; Pickreign, Richard; Caveney, Robert, Process apparatus with on-the-fly workpiece centering.
  781. Wengert John F. ; Jacobs Loren R. ; Halpin Michael W. ; Foster Derrick W. ; van der Jeugd Cornelius A. ; Vyne Robert M. ; Hawkins Mark R., Process chamber with inner support.
  782. Karschnia, Robert J.; Peluso, Marcos; Toy, Adrian C., Process device with improved power generation.
  783. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  784. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  785. Wang Tihu ; Ciszek Theodore F., Process for Polycrystalline film silicon growth.
  786. McNallan, Michael J.; Ersoy, Daniel; Gogotsi, Yury, Process for converting a metal carbide to carbon by etching in halogens.
  787. Georges Zagdoun FR, Process for depositing a tungsten-based and/or molybdenum-based layer on a rigid substrate, and substrate thus coated.
  788. Machkaoutsan, Vladimir; Maes, Jan Willem; Xie, Qi, Process for depositing electrode with high effective work function.
  789. Lu Jiong-Ping, Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density.
  790. Gaillard, Frederic; Xia, Li-Qun; Shu, Jen; Yieh, Ellie; Lim, Tian-Hoe, Process for forming a low dielectric constant carbon-containing film.
  791. Das John H. ; Thakur Randhir P. S., Process for forming thin dielectric layers in semiconductor devices.
  792. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing.
  793. Sunit Tyagi, Process for making semiconductor device with epitaxially grown source and drain.
  794. Skarp, Jarmo; Linnermo, Mervi; Asikainen, Timo, Process for producing aluminum oxide films at low temperatures.
  795. Jeuch Pierre (Seyssins FRX) Parrens Pierre (Grenoble FRX), Process for producing the field oxide of an integrated circuit.
  796. Putkonen, Matti, Process for producing zirconium oxide thin films.
  797. Tachi Shinichi (Sayama JPX) Okudaira Sadayuki (Ome JPX) Tsujimoto Kazunori (Hachioji JPX) Mukai Kiichiro (Hachioji JPX), Process for surface treatment.
  798. Kawakami Soichiro (Hikone) Kanai Masahiro (Tokyo) Aoki Takeshi (Machida JPX), Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method.
  799. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  800. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  801. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  802. Kiyomori,Ayumu; Kubota,Tohru, Processes of making γ,δ-unsaturated carboxylic acid and silyl ester thereof, carboxyl group-containing organosilicon compound and process of making.
  803. Li, Yicheng, Processing chamber for manufacturing semiconductors.
  804. Hayashi, Kazuichi; Yatsuda, Kouichi; Urakawa, Masafumi, Processing device, electrode, electrode plate, and processing method.
  805. Kent, Martin; Laflamme, Jr., Arthur H; Wallace, Jay; Hamelin, Thomas, Processing system and method for treating a substrate.
  806. Kai-Erik Elers FI; Ville Antero Saanila FI; Sari Johanna Kaipio FI; Pekka Juha Soininen FI, Production of elemental thin films using a boron-containing reducing agent.
  807. Lee Seung-Jin,KRX, Profile thermocouple of a transverse-type diffusion furnace.
  808. Moslehi Mehrdad M. (Dallas TX) Davis Cecil J. (Greenville TX) Matthews Robert T. (Plano TX), Programmable multizone gas injector for single-wafer semiconductor processing equipment.
  809. Yamasaki Shigeru,JPX ; Okumura Masahiko,JPX, Projection exposure apparatus and method.
  810. Nishi Kenji (Kawasaki JPX), Projection exposure apparatus having an off-axis alignment system and method of alignment therefor.
  811. Quartarone James M. (Portsmouth RI), Protective coating system for aluminum.
  812. Phillippi R. Michael (Highland MD) Greenspan David C. (Vienna VA) Tokay Ernie (Reston VA), Protective structure for an immersion pyrometer.
  813. Subramonium,Pramod; Fang,Zhiyuan; Henri,Jon, Pulsed PECVD method for modulating hydrogen content in hard mask.
  814. Shanker, Sunil; Lang, Chi I, Pulsed bias having high pulse frequency for filling gaps with dielectric material.
  815. Yau Leopoldo D. (Portland OR) Kawamoto Galen H. (Beaverton OR), Pulsed dual radio frequency CVD process.
  816. Winkler, Jereld Lee, Pulsed remote plasma method and system.
  817. Dunn, Todd; White, Carl; Halpin, Mike; Shero, Eric; Terhorst, Herbert; Winkler, Jerry, Pulsed valve manifold for atomic layer deposition.
  818. Baluja, Sanjeev; Rocha-Alvarez, Juan Carlos; Demos, Alexandros T.; Nowak, Thomas; Zhou, Jianhua, Quartz showerhead for nanocure UV chamber.
  819. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Radial and thickness control via biased multi-port injection settings.
  820. Dickey, Eric R.; Barrow, William A., Radical-enhanced atomic layer deposition system and method.
  821. Moore Gary M. (San Jose CA) Nishikawa Katsuhito (San Jose CA), Rapid thermal processing apparatus for processing semiconductor wafers.
  822. Thompson Danny L. ; Melnick Bradley M. ; Dauksher William J., Rapid thermal processing susceptor.
  823. Murakami Takeshi,JPX ; Takeuchi Noriyuki,JPX ; Shinozaki Hiroyuki,JPX ; Tsukamoto Kiwamu,JPX ; Fukunaga Yukio,JPX ; Hongo Akihisa,JPX, Reactant gas ejector head and thin-film vapor deposition apparatus.
  824. Fondurulia, Kyle; Shero, Eric J; Verghese, Mohith; White, Carl L, Reactant source vessel.
  825. Yoshida, Hidenari; Taniyama, Tomoshi, Reaction tube.
  826. Sasaki, Takafumi; Morimitsu, Kazuhiro; Nishitani, Eisuke; Yamamoto, Tetsuo; Fukuda, Masanao, Reaction tube, substrate processing apparatus, and method of manufacturing semiconductor device.
  827. Timmermans, Eric A. H.; Teepen, Maarten J.; Mucciato, Raffaele; Wilhelm, Rudi, Reactor precoating for reduced stress and uniform CVD.
  828. Gustin Ronald R., Receptacle for holding a sensing device.
  829. Borkholder, Olen, Recess ceiling fan bezel.
  830. Zvonar John G. (Austin TX) Taylor James (Austin TX), Redundant thermocouple.
  831. Tanaka, Masaaki; Shimizu, Takeshi; Nishimura, Koichi, Refrigerator and defrosting heater.
  832. Mikata, Yuuichi; Katsui, Shuji; Akahori, Hiroshi, Reliable semiconductor device and method of manufacturing the same.
  833. Hua, Zhong Qiang; Kamath, Sanjay; Lee, Young S.; Yieh, Ellie Y.; Le, Hien-Minh Huu; Patel, Anjana M.; Gondhalekar, Sudhir R., Remote plasma clean process with cycled high and low pressure clean steps.
  834. Lojen,John, Remotely programmable integrated sensor transmitter.
  835. Sandhu, Gurtej S.; Li, Li, Removal of carbon from an insulative layer using ozone.
  836. Bakre, Shashank; Kumar, Nitin, Resetting an electronic ballast in the event of fault.
  837. Randall Bello ; James Finnerty ; Mohamed Ziauddin ; Andrew Witkowski, Rewriting a query in terms of a summary based on functional dependencies and join backs, and based on join derivability.
  838. Ando, Ryuji; Hino, Kazunori; Furutani, Akihiro, Robot hand and robot.
  839. Hino, Kazunori; Ando, Ryuji; Shimada, Katsuhiko, Robot hand and robot.
  840. Lohmann, Hans, Roof drain.
  841. deBoer Wiebe B. (Eersel OR NLX) Ozias Albert E. (Aumsville OR), Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment.
  842. deBoer Wiebe B. (Kromme Molenweg 10 5521 GB Eersel OR NLX) Ozias Albert E. (7515 Poet Rd. ; S.E. Aumsville OR 97325), Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment.
  843. Soininen,Pekka T., Safe liquid source containers.
  844. Trickle Glen W. ; Planton Peter S., Sanitary fitting having ferrule with grooved undercut.
  845. Green, Steven R.; Santos, Kent, Sapphire reinforced thermocouple protection tube.
  846. Sisk, David E., Screened gasket.
  847. Yamagishi, Takayuki; Tsuji, Naoto; Sato, Kazuo, Seal ring.
  848. Engelbrektsson, Anders, Sealing ring membrane.
  849. Shelnut, James G.; Merricks, David; Dutkewych, Oleh B.; Shipley, Charles R., Seed layer deposition.
  850. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductor films.
  851. Zhu, Chiyu, Selective film deposition method to form air gaps.
  852. Haukka, Suvi P.; Niskanen, Antti; Tuominen, Marko, Selective formation of metallic films on metallic surfaces.
  853. Gabriel, Calvin T.; Levinson, Harry J.; Okoroanyanwu, Uzodinma, Selective photoresist hardening to facilitate lateral trimming.
  854. Avouris, Phaedon; Carruthers, Roy A.; Chen, Jia; Detavernier, Christopher G. M. M.; Lavoie, Christian; Wong, Hon-Sum Philip, Self-aligned process for nanotube/nanowire FETs.
  855. Qian, Xue-Yu; Sun, Zhi-Wen; Jiang, Weinan; Chen, Arthur Y.; Yin, Gerald Zheyao; Yang, Ming-Hsun; Kuo, Ming-Hsun; Mui, David S. L.; Chinn, Jeffrey; Pan, Shaoher X.; Wang, Xikun, Self-cleaning etch process.
  856. Disel Jimmy D., Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles.
  857. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  858. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  859. Oda, Noriaki, Semiconductor device and method for manufacturing the same.
  860. Yokoyama Takashi,JPX, Semiconductor device and method of fabricating the same.
  861. Sakuma, Kiwamu; Kusai, Haruka; Fujii, Shosuke; Zhang, Li; Kiyotoshi, Masahiro; Shingu, Masao, Semiconductor device and method of manufacturing the same.
  862. Raisanen, Petri; Givens, Michael; Verghese, Mohith, Semiconductor device dielectric interface layer.
  863. Raisanen, Petri; Givens, Michael; Verghese, Mohith, Semiconductor device dielectric interface layer.
  864. Shamouilian, Shamouil; Lai, Canfeng; Cox, Michael Santiago; Krishnaraj, Padmanabhan; Tanaka, Tsutomu; Raoux, Sebastien; Porshnev, Peter I.; Nowak, Thomas, Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas.
  865. Oishi Yoshiro (Nishinomiya JPX) Ueda Daisuke (Ibaraki JPX), Semiconductor device having a hollow around a gate electrode and a method for producing the same.
  866. Yamamoto Hiroshi (Suwa JPX), Semiconductor device having a passivation layer with silicon nitride layers.
  867. Tamura Akiyoshi (Suita JPX), Semiconductor device having an MIS structure.
  868. Den Yasuhide (Tokyo JPX), Semiconductor device having laminated tight and coarse insulating layers.
  869. Kato Toshio,JPX ; Tokumasu Noboru,JPX ; Azumi Takayoshi,JPX, Semiconductor device manufacturing system.
  870. Kang, Sang-bom; Lim, Hyun-seok; Chae, Yung-sook; Jeon, In-sang; Choi, Gil-heyun, Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors.
  871. Kannan, Balaji; Kwon, Unoh; Krishnan, Siddarth; Ando, Takashi; Narayanan, Vijay, Semiconductor devices with varying threshold voltage and fabrication methods thereof.
  872. Saito, Kazuyoshi; Takagi, Youji, Semiconductor fabrication apparatus and fabrication method thereof.
  873. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing.
  874. Takano, Satoshi, Semiconductor manufacturing method, substrate processing method, and semiconductor manufacturing apparatus.
  875. Menser, Jr., Clayton David; Gilfford, Jeffrey Paul, Semiconductor manufacturing process monitoring.
  876. Lee,Byung Il, Semiconductor manufacturing system and wafer holder for semiconductor manufacturing system.
  877. Sim, Jae-Hwang; Shin, Jinhyun; Lee, Jong-Min, Semiconductor memory devices including an air gap and methods of fabricating the same.
  878. Yan,Jiang; Sung,Chun Yung; Shum,Danny Pak Chum; Gutmann,Alois, Semiconductor method and device with mixed orientation substrate.
  879. Patalay, Kailash K.; Metzner, Craig; Carlson, David K., Semiconductor process chamber vision and monitoring system.
  880. Yamagishi, Takayuki; Suwada, Masaei; Watanabe, Takeshi, Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections.
  881. Yamagishi,Takayuki; Suwada,Masaei; Watanabe,Takeshi, Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections.
  882. Kiyoshi Satoh JP, Semiconductor processing apparatus with substrate-supporting mechanism.
  883. Weaver Robert A., Semiconductor processing furnace.
  884. Shero, Eric; Verghese, Mohith E.; White, Carl L.; Terhorst, Herbert; Maurice, Dan, Semiconductor processing reactor and components thereof.
  885. Goodwin Dennis L. ; Hawkins Mark R. ; Crabb Richard ; Doley Allan D., Semiconductor processing system with gas curtain.
  886. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  887. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  888. Xie, Qi; Machkaoutsan, Vladimir; Maes, Jan Willem, Semiconductor structure and device and methods of forming same using selective epitaxial process.
  889. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Semiconductor substrate process using a low temperature deposited carbon-containing hard mask.
  890. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Semiconductor substrate process using an optically writable carbon-containing mask.
  891. Takizawa, Masahiro; Suwada, Masaei; Wada, Takashi, Semiconductor substrate transfer apparatus and semiconductor substrate processing apparatus equipped with the same.
  892. Hong Ji-hoon,KRX ; Nam Ki-heum,KRX, Semiconductor wafer boat with reduced wafer contact area.
  893. Bazydola Kenneth J. ; Price Robert L., Semiconductor wafer cassette positioning and detection mechanism.
  894. Guldi, Richard L.; Melcher, Keith W.; Williston, John, Semiconductor wafer edge marking.
  895. Jeffry A. Davis ; Kert L. Dolechek ; Gary L. Curtis, Semiconductor wafer processing apparatus having improved wafer input/output handling system.
  896. Orczyk Maciek ; Murugesh Laxman ; Narwankar Pravin, Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing.
  897. Orczyk Maciek ; Murugesh Laxman ; Narwankar Pravin, Sequencing of the recipe steps for the optimal low-k HDP-CVD processing.
  898. Fair, James A.; Taylor, Nerissa, Sequential UV induced chemical vapor deposition.
  899. Sherman Arthur, Sequential chemical vapor deposition.
  900. Sherman, Arthur, Sequential chemical vapor deposition.
  901. Sherman, Arthur, Sequential chemical vapor deposition.
  902. Tarafdar, Raihan M.; Papasouliotis, George D.; Rulkens, Ron; Hausmann, Dennis M.; Tobin, Jeff; Tipton, Adrianne K.; Nie, Bunsen, Sequential deposition/anneal film densification method.
  903. Fair, James A.; Taylor, Nerissa, Sequential electron induced chemical vapor deposition.
  904. Moghadam,Farhad K.; Cox,Michael S.; Krishnaraj,Padmanabhan; Pham,Thanh N., Sequential gas flow oxide deposition technique.
  905. Fair, James A.; van den Hoek, Wilbert; Taylor, Nerissa, Sequential ion, UV, and electron induced chemical vapor deposition.
  906. Chandrasekharan, Ramesh; Lavoie, Adrien; Slevin, Damien; Leeser, Karl, Sequential precursor dosing in an ALD multi-station/batch reactor.
  907. Juliano Rolando O., Sheathed thermocouple with internal coiled wires.
  908. McClurg Ben B., Sheet rock plug.
  909. Crowley Joseph (9639 Wystone Avenue Northridge CA 91324), Shelf extending mounting bracket for additional product display.
  910. Hosokawa Akihiro ; Kowaka Masahiko, Shielded heat sensor for measuring temperature.
  911. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  912. Iizuka, Hachishiro, Shower head and plasma processing apparatus having same.
  913. Park, Jong-chul; Kim, Dong-hyun; Kwon, O-ik; Jo, Hye-jin, Shower head of a wafer treatment apparatus having a gap controller.
  914. Glunk, Guenter, Shower installation.
  915. Matteo Piano IT, Shower plate.
  916. Matteo Piano IT, Shower plate.
  917. Sato, Kazuo, Shower plate.
  918. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Shower plate.
  919. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Shower plate.
  920. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Shower plate.
  921. Yamagishi, Takayuki; Tsuji, Naoto; Sato, Kazuo, Shower plate.
  922. Yamagishi, Takayuki; Tsuji, Naoto; Sato, Kazuo, Shower plate.
  923. Yanagisawa, Ippei; Hebiguchi, Shuzo; Hara, Kei, Shower plate.
  924. Aida, Koei; Baba, Tomoyuki, Shower plate having different aperture dimensions and/or distributions.
  925. Delepine Jean-Claude (23 rue Clapeyron 75008 Paris FRX), Shower room and ceiling element, especially for a shower room.
  926. Breda, Silvano, Shower strainer.
  927. Breda, Silvano, Shower strainer.
  928. Lindfors, Sven; Soininen, Pekka Juha, Showerhead assembly and ALD methods.
  929. Kennedy, William S.; Jacob, David E., Showerhead electrode assembly for plasma processing apparatuses.
  930. Byun, Chulsoo; Han, Man Cheol; Chung, Il Yong; Lee, Seok Woo, Showerhead for film depositing vacuum equipment.
  931. Tiner, Robin L.; Choi, Soo Young; Wang, Qunhua; Chen, Jrjyan Jerry, Showerhead support structure for improved gas flow.
  932. Karl A. Littau ; Bevan Vo ; Salvador P. Umotoy ; Son N. Trinh ; Chien-Teh Kao ; Ken Kaung Lai ; Bo Zheng ; Ping Jian ; Siqing Lu ; Anzhong Chang, Showerhead with reduced contact area.
  933. Rossman, Kent, Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput.
  934. Rossman, Kent, Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput.
  935. Kouvetakis, John; Bauer, Matthew; Tolle, John, SiSnGeand related alloy heterostructures based on Si, Ge and Sn.
  936. Cyphers, Thomas Jason; Cyphers, Reinhardt Franklin, Sign frame key.
  937. Chen, Jerry; Machkaoutsan, Vladimir; Milligan, Brennan; Maes, Jan Willem; Haukka, Suvi; Shero, Eric; Blomberg, Tom E.; Li, Dong, Silane and borane treatments for titanium carbide films.
  938. Arai, Takeshi; Honma, Tadaaki; Habuka, Hitoshi, Silicon epitaxial wafer and production method therefor.
  939. Kumagai, Akira; Ishibashi, Keiji; Mori, Shigeru, Silicon oxide film formation method.
  940. Ylva Backlund SE, Silicon substrate having a recess for receiving an element, and a method of producing such a recess.
  941. Nobuo Matsuki JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  942. Nobuo Matsuki JP; Yuichi Naito JP; Yoshinori Morisada JP; Aya Matsunoshita JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  943. Nobuo Matsuki JP; Yuichi Naito JP; Yoshinori Morisada JP; Aya Matsunoshita JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  944. Niroomand, Ardavan; Zhou, Baosuo; Alapati, Ramakanth, Simplified pitch doubling process flow.
  945. Wells, David H.; Abatchev, Mirzafer K., Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures.
  946. Arai, Izumi, Single-and dual-chamber module-attachable wafer-handling chamber.
  947. Shrinivasan, Krishna; Wang, Feng; Kamian, George; Gentile, Steve; Yam, Mark, Single-chamber sequential curing of semiconductor wafers.
  948. Blahnik,Jeff; Kraus,Joe; Rice,Mike, Single-sided inflatable vertical slit valve.
  949. Velez Cherle (9609 Arbor Meadow Dr. Boynton Beach FL 33437), Sink drain shield.
  950. Aggarwal, Ravinder K.; Conner, Rand, Smart temperature measuring device.
  951. Schuh William C., Smart temperature sensing device.
  952. Pasquale, Frank L.; Swaminathan, Shankar; LaVoie, Adrien; Shamma, Nader; Dixit, Girish, Soft landing nanolaminates for advanced patterning.
  953. Miller, Thomas W.; McDavid, Charles H.; Dunham, Paul Alan; Siegel, William Jordan; Siegel, Eric Stephen, Soldering station with built-in self-calibration function.
  954. Tomiyasu, Shizuo; Tokudome, Kohichi; Haga, Kenichi, Solid organometallic compound-filled container and filling method thereof.
  955. Tomiyasu, Shizuo; Tokudome, Kohichi; Haga, Kenichi, Solid organometallic compound-filled container and filling method thereof.
  956. Cleary, John M.; Arno, Jose I.; Hendrix, Bryan C.; Naito, Donn; Battle, Scott; Gregg, John N.; Wodjenski, Michael J.; Xu, Chongying, Solid precursor-based delivery of fluid utilizing controlled solids morphology.
  957. Lindfors, Sven; von Zweygbergk, Jan; Kukkonen, Marko J., Source chemical container assembly.
  958. Xie, Qi; de Roest, David; Woodruff, Jacob; Givens, Michael Eugene; Maes, Jan Willem; Blanquart, Timothee, Source/drain performance through conformal solid state doping.
  959. Adomaitis, Raymond A.; Kidder, Jr., John N.; Rubloff, Gary W., Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation.
  960. Plattard, Yves, Speaker.
  961. Haak Raymond L. (Rte. 3 ; Box 293 Alta Loma TX 77510), Spring loaded sensor fitting.
  962. McKelvey Thomas E. (Solana Beach CA) Guarnieri Joseph J. (San Diego CA), Spring loaded thermocouple module.
  963. Schrameyer, Michael A., Spring retained end effector contact pad.
  964. Shibazaki,Yuichi, Stage device with frame-shaped member movable in at least three degrees of freedom within a two-dimensional plane.
  965. Ishikawa Kenji (Sagamihara JPX) Komino Mitsuaki (Tokyo JPX) Mitui Tadashi (Yamanashi JPX) Iwata Teruo (Nirasaki JPX) Arai Izumi (Yokohama JPX) Tahara Yoshifumi (Tokyo JPX), Stage having electrostatic chuck and plasma processing apparatus using same.
  966. Hall Richard H. ; Stephenson Andrew J. ; Flor Nicolo,CAX, Stand-pipe assembly unit for a containment basin which holds a sorbent material containing cartridge and which can hold a silt-collecting sock over its top tray.
  967. Hurwitt Steven D. (Park Ridge NJ) Wagner Israel (Monsey NY), Stationary aperture plate for reactive sputter deposition.
  968. Hersey, Christopher S.; Cobb, Daniel P.; Stiller, Matthew L.; Byrne, Jr., Gregory W.; Weiler, Christopher W.; Aberle, Daniel W.; Kowalsky, Gregory; Stone, Michael, Stormwater filter assembly.
  969. Zhu, Huilong; Rausch, Werner, Structure and method for fabricating self-aligned metal contacts.
  970. Weeks, Keith Doran, Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same.
  971. Tolle, John, Structures and devices including germanium-tin films and methods of forming same.
  972. Gates,Stephen M.; Nguyen,Son, Structures and methods for integration of ultralow-k dielectrics with improved reliability.
  973. Shero,Eric J.; Givens,Michael E.; Schmidt,Ryan, Sublimation bed employing carrier gas guidance structures.
  974. Riker, Martin; Wang, Wei W., Substrate cleaning chamber and components.
  975. Goto, Shigehiro; Matsuchika, Keiji; Morita, Akihiko, Substrate heat treatment apparatus.
  976. Goto, Shigehiro; Matsuchika, Keiji; Yamaguchi, Akira; Morita, Akihiko, Substrate heat treatment apparatus.
  977. Morita,Akihiko; Goto,Shigehiro; Matsuchika,Keiji, Substrate heat treatment apparatus.
  978. Kaneno Nobuaki,JPX ; Kizuki Hirotaka,JPX ; Takemi Masayoshi,JPX ; Mori Kenzo,JPX, Substrate holder for MOCVD.
  979. Kondo, Makoto, Substrate holding apparatus and exposure apparatus including substrate holding apparatus.
  980. Miyoshi, Hidenori; Azumo, Shuji, Substrate processing apparatus.
  981. Morita, Shinya; Nakada, Takayuki; Matsuda, Tomoyuki; Sakashita, Keisuke, Substrate processing apparatus.
  982. Shibata, Koji; Tatta, Masahiro, Substrate processing apparatus and attaching/detaching method of reaction vessel.
  983. Kogano, Minoru; Inokuchi, Yasuhiro; Sambu, Makoto; Moriya, Atsushi; Kunii, Yasuo, Substrate processing apparatus and method for manufacturing semiconductor device.
  984. Saido, Shuhei; Yamaguchi, Takatomo; Hara, Daisuke, Substrate processing apparatus and method of manufacturing semiconductor device.
  985. Satoshi Okada JP; Michiko Nishiwaki JP; Katsuhisa Kasanami JP; Eisuke Nishitani JP, Substrate processing apparatus and semiconductor device producing method.
  986. Ueda, Issei; Kudou, Hiroyuki, Substrate processing apparatus and substrate processing method.
  987. Ueno, Masaaki; Shimada, Masakazu; Hanashima, Takeo; Morikawa, Haruo; Hayashida, Akira, Substrate processing apparatus and substrate processing method.
  988. Kitano, Junichi, Substrate processing method and substrate processing apparatus.
  989. Kawamoto, Tomokazu, Substrate processing method, and method of manufacturing semiconductor device.
  990. Nishimura, Hideki; Nakashima, Mikio, Substrate processing method, substrate processing apparatus, and program storage medium.
  991. Higashi,Makio; Miyata,Akira, Substrate processing system, coating/developing apparatus, and substrate processing apparatus.
  992. Yutaka Endo JP; Nobuyoshi Tanno JP, Substrate storage cassette positioning device and method.
  993. Nguyen, Andrew; Schneider, Gerhard; Hosokawa, Akihiro; Matsumoto, Takayuki, Substrate support.
  994. Lee, Jeong Ho; Jeong, Sang Jin; Jung, Dong Rak, Substrate support for a semiconductor deposition apparatus.
  995. Choi, Seung Woo; Noh, Hyung Wook; Woo, Jeong Jun; Kim, Dae Youn; Jang, Hyun Soo, Substrate supporter for semiconductor deposition apparatus.
  996. Jang, Hyun Soo; Kim, Dae Youn; Lee, Jeong Ho; Lee, Seung Seob; Kwon, Hak Yong, Substrate supporter for semiconductor deposition apparatus.
  997. Kida,Naoya; Tachikawa,Toshihiro; Futakuchiya,Jun, Substrate supporting apparatus.
  998. Shibagaki, Masami; Kurematsu, Yasumi, Substrate supporting/transferring tray.
  999. Shibagaki, Masami; Kurematsu, Yasumi, Substrate supporting/transferring tray.
  1000. Yanagawa Syusaku,JPX ; Blersch Werner,DEX, Substrate temperature measuring apparatus, substrate temperature measuring method, substrate heating method and heat treatment apparatus.
  1001. Raaijmakers Ivo ; Jacobs Loren ; Halpin Mike ; Alexander Jim ; O'Neill Ken ; Goodwin Dennis Lee, Substrate transfer system for semiconductor processing equipment.
  1002. Muka Richard S. ; Davis ; Jr. James C. ; Hofmeister Christopher A., Substrate transport apparatus with double substrate holders.
  1003. Kobayashi, Kenji; Okutani, Manabu, Substrate treatment method and substrate treatment apparatus.
  1004. Yamagishi, Takayuki; Kobayashi, Tamihiro; Watanabe, Akira; Kaneuchi, Kunihiro, Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus.
  1005. Ikedo, Yozo, Substrate-supporting device.
  1006. Shin, Daisuke; Kamijo, Machiko; Ando, Ryuji, Suction structure, robot hand and robot.
  1007. Haukka, Suvi P.; Tang, Fu; Givens, Michael; Maes, Jan Willem; Xie, Qi, Sulfur-containing thin films.
  1008. Hu Evelyn L. (Somerset NJ) Jackel Lawrence D. (Morganville NJ), Superconducting junctions utilizing a binary semiconductor barrier.
  1009. Griffiths, Stewart K.; Nilson, Robert H.; Torres, Kenneth J., Support apparatus for semiconductor wafer processing.
  1010. Culbertson David P., Surface temperature sensor.
  1011. Toya Eiichi (Oguni JPX) Itoh Yukio (Oguni JPX) Ohashi Tadashi (Oguni JPX) Sumiya Masayuki (Oguni JPX) Sasaki Yasumi (Oguni JPX), Susceptor.
  1012. Vyne, Robert M, Susceptor.
  1013. Yokogawa,Masanari, Susceptor.
  1014. Hellwig Lance G. (Jennings MO), Susceptor and baffle therefor.
  1015. Son, Hyo Kun, Susceptor and semiconductor manufacturing apparatus including the same.
  1016. Maki, Keigo, Susceptor device.
  1017. Perlov Ilya (Santa Clara CA), Susceptor drive and wafer displacement mechanism.
  1018. Nakamura, Shuji; DenBaars, Steven; Batres, Max; Coulter, Michael, Susceptor for MOCVD reactor.
  1019. Kordina Olle (Sturefors SEX) Fornell Jan-Olov (Malmo SEX) Berge Rune (Lund SEX) Nilsson Roger (Lund SEX), Susceptor for a device for epitaxially growing objects and such a device.
  1020. Martin John G. (Scotch Plains NJ), Susceptor for heating semiconductor substrates.
  1021. Hill Lawrence B. (Short Hills NJ) Garbis Dennis (Dix Hills NY) Heller Robert C. (Stonybrook NY) Granata Amedeo J. (Flushing NY), Susceptor for radiant absorption heater system.
  1022. Jeong, Sang Jin; Han, Jeung Hoon; Choi, Young Seok; Park, Ju Hyuk, Susceptor for semiconductor substrate processing apparatus.
  1023. Yamada Takayuki (Saga JPX) Kii Takeshi (Saga JPX), Susceptor for vapor-phase growth system.
  1024. Dunn, Todd; Alokozai, Fred; Winkler, Jerry; Halpin, Michael, Susceptor heater and method of heating a substrate.
  1025. Dunn, Todd; Alokozai, Fred; Winkler, Jerry; Halpin, Michael, Susceptor heater and method of heating a substrate.
  1026. Dunn, Todd; White, Carl; Halpin, Michael; Shero, Eric; Winkler, Jerry, Susceptor heater shim.
  1027. Kim, Yong-Jin, Susceptor of apparatus for manufacturing semiconductor device.
  1028. Aggarwal, Ravinder; Haro, Bob, Susceptor ring.
  1029. Greiner, Steven P.; Cogley, Paul A.; Petrofsky, Keith E., Susceptor tray.
  1030. Ohashi Tsuneaki,JPX, Susceptors.
  1031. Yamaguchi, Shinji, Susceptors for semiconductor-producing apparatuses.
  1032. Schwartz Bradley N. ; Kirkpatrick William R., Switch for selectively coupling a sensor or calibration element to a terminal block.
  1033. Peukert,Karsten, System and method for determining the temperature of a semiconductor wafer.
  1034. Metzner, Craig R.; Kher, Shreyas S.; Han, Shixue, System and method for forming a gate dielectric.
  1035. Wong,Vernon; Meinccke,Richard J., System and method for gas flow verification.
  1036. Tang, Fu; Givens, Michael E.; Xie, Qi; Jiang, Xiaoqiang; Raisanen, Petri; Calka, Pauline, System and method for gas-phase passivation of a semiconductor surface.
  1037. Tang, Fu; Givens, Michael Eugene; Xie, Qi; Raisanen, Petri, System and method for gas-phase sulfur passivation of a semiconductor surface.
  1038. Hosek,Martin, System and method for on-the-fly eccentricity recognition.
  1039. Tuominen, Marko; Shero, Eric; Verghese, Mohith, System for controlling the sublimation of reactants.
  1040. Tuominen, Marko; Shero, Eric; Verghese, Mohith, System for controlling the sublimation of reactants.
  1041. Kishkovich Oleg P. ; Kinkead Devon A., System for detecting base contaminants in air.
  1042. Park, Wang Jun; Kim, Jung Bae; Ahn, Young Jae; Kim, Hyoung June; Shin, Dong Hoon, System for heat treatment of semiconductor device.
  1043. Fosnight, William J.; Gallagher, Ryan J.; Miner, Stephen B., System for separately handling different size FOUPs.
  1044. Van Bilsen Frank B. M., System of controlling the temperature of a processing chamber.
  1045. Lawson, Keith R.; Givens, Michael E., Systems and methods for dynamic semiconductor process scheduling.
  1046. Vaartstra,Brian A., Systems and methods for forming metal oxides using alcohols.
  1047. Sarin, Michael Christopher; Mendez, Rafael; Bartlett, Gregory M.; Hill, Eric; Lawson, Keith R.; Rosser, Andy, Systems and methods for mass flow controller verification.
  1048. Tabrizi Farzad ; Kitazumi Barry ; Barker David A. ; Setton David A. ; Niewmierzycki Leszek ; Kuhlman Michael J., Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber.
  1049. Raisanen, Petri; Sung-hoon, Jung; Mohith, Verghese, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  1050. Shero, Eric J.; Raisanen, Petri I.; Jung, Sung-Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  1051. Shero, Eric; Raisanen, Petri I.; Jung, Sung Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species.
  1052. Shajii, Ali; Gottscho, Richard; Benzerrouk, Souheil; Cowe, Andrew; Nagarkatti, Siddharth P.; Entley, William R., Systems, methods and apparatus for choked flow element extraction.
  1053. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Tantalum lanthanide oxynitride films.
  1054. Kevin Stoddard ; Paul R. McHugh ; Konstantinos Tsakalis, Temperature control system for a thermal reactor.
  1055. Lubomirsky Dmitry ; D'ambra Allen I. ; Floyd Edward L ; Liang Qiwei ; Hoffman Daniel J ; Fuentes Victor H ; Yavelberg Simon ; Chen Jerry C, Temperature control system for process chamber.
  1056. Kholodenko Arnold ; Lee Ke Ling ; Shendon Maya ; Quiles Efrain, Temperature control system for semiconductor process chamber.
  1057. Vellore, Kim; Liang, Qiwei; Polar, Erwin, Temperature controlled chamber.
  1058. MacCracken Thomas G. (Van Alstyne TX) MacCracken Janet (Van Alstyne TX) MacCracken Richard E. (Dallas TX) Rice Millard B. (Plano TX), Temperature controlled insulation system.
  1059. Tsukamoto, Yuji; Strang, Eric J., Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system.
  1060. Hashikura,Manabu; Nakata,Hirohiko; Natsuhara,Masuhiro; Kuibira,Akira, Temperature gauge and ceramic susceptor in which it is utilized.
  1061. Grimm Noel P. (Monroeville PA) Bauer Frank I. (Perry Township ; Lawrence County PA) Bengel Thomas G. (Plum Boro PA) Kothmann Richard E. (Churchill Boro PA) Mavretish Robert S. (New Stanton PA) Miller, Temperature monitoring device and thermocouple assembly therefor.
  1062. Comendant, Keith, Temperature probes having a thermally isolated tip.
  1063. Volbrecht Arthur O. (Genoa City WI), Temperature sensing device.
  1064. Sasada Katsumi (Nara JPX) Ohji Kenzo (Ikoma JPX) Ueda Yasukiyo (Nara JPX), Temperature sensor.
  1065. Waser, Max; Pletscher, Ernst, Temperature sensor with processable front.
  1066. Schwagerman, William H; Frake, Robert K, Temperature sensors and methods of manufacture thereof.
  1067. Nguyen, Vuong P.; Sims, Richard E.; Zhu, Xiaoguang, Thermal process station with heated lid.
  1068. Kusakabe, Yoshinori; Yamaga, Kenichi, Thermal processing apparatus and cooling method.
  1069. Jdira, Lucian C.; Adjeroud, Noureddine, Thermal processing furnace and liner for the same.
  1070. Tuma, Phillip E.; Palmgren, Gary M., Thermal transfer coating.
  1071. Zhao Jun ; Sinha Ashok ; Tepman Avi ; Chang Mei ; Luo Lee ; Schreiber Alex ; Sajoto Talex ; Wolff Stefan ; Dornfest Charles ; Danek Michal, Thermally floating pedestal collar in a chemical vapor deposition chamber.
  1072. Adams David V. (San Jose) Anderson Roger N. (Santa Clara) Deacon Thomas E. (San Jose CA), Thermally processing semiconductor wafers at non-ambient pressures.
  1073. Conner, Rand; Aggarwal, Ravinder K., Thermocouple.
  1074. Darabnia, Buz; Yednak, Andy; Halpin, Mike; Jacobs, Loren; Aggarwal, Ravinder, Thermocouple.
  1075. Jacobs, Loren, Thermocouple.
  1076. Jacobs, Loren; Halpin, Mike, Thermocouple.
  1077. Yednak, III, Andy Michael, Thermocouple.
  1078. Usher John D. (Beaver PA) Blaze Joseph E. (Beaver PA) Phillippi R. Michael (Highland MD), Thermocouple assembly.
  1079. Aggarwal, Ravinder K.; Haro, Robert C., Thermocouple assembly with guarded thermocouple junction.
  1080. Inoue Satoshi (Kashiwa JPX) Matsumoto Hiroaki (Wakayama JPX), Thermocouple for a continuous casting machine.
  1081. Ripley, Edward B., Thermocouple shield.
  1082. Voznick Henry P. (Arcadia CA), Thermocouple surface probe.
  1083. Shia Yoshiaki (Tokai JPX) Mori Hideaki (Tokai JPX) Kida Otojiro (Yokohama JPX) Taketsugu Hiroshi (Takasago JPX), Thermocouple temperature sensor and a method of measuring the temperature of molten iron.
  1084. Gambino, Richard J.; Longtin, Jon Patrick; Brogan, Jeffrey A.; Gutleber, Jonathan S.; Greenlaw, Robert J., Thermocouples.
  1085. Harr, James; Gierer, Joseph T., Thermometer having molded probe component.
  1086. Yamasaki,Hideaki; Kawano,Yumiko, Thin film forming method and thin film forming device.
  1087. Mori Hisatoshi (Fussa JPX) Sato Syunichi (Kawagoe JPX) Konya Naohiro (Hino JPX) Ohno Ichiro (Hachioji JPX) Ishii Hiromitsu (Tokorozawa JPX) Matsuda Kunihiro (Sagamihara JPX), Thin-film transistor and a liquid crystal matrix display device using thin-film transistors of this type.
  1088. Hsu, Tsang-Hung, Toothbrush dryer.
  1089. Hirakida, Junichi, Top cover of a load lock chamber.
  1090. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Top plate.
  1091. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Top plate.
  1092. Yamagishi, Takayuki; Sato, Kazuo; Tsuji, Naoto, Top plate.
  1093. Honma, Manabu; Hishiya, Katsuyuki, Top plate for reactor for manufacturing semiconductor.
  1094. Johnson Robert N. ; Woods Stanley P., Transducer assembly with smart connector.
  1095. Geren ; deceased Lorenzo D. (late of Houston TX by Henrietta C. Geren ; administratrix) Worden Raymond D. (Houston TX), Transfer apparatus.
  1096. Rossman, Kent; Li, Zhuang; Lee, Young, Trench fill with HDP-CVD process including coupled high power density plasma deposition.
  1097. Watanabe, Masahisa; Hasebe, Kazuhide, Trench-filling method and film-forming system.
  1098. Goela Jitendra S. (Andover MA) Burns Lee E. (Woburn MA) Teverovsky ALexander (Concord MA) MacDonald James C. (Stoneham MA), Triangular deposition chamber for a vapor deposition system.
  1099. Bhatia, Sidharth; Hamana, Hiroshi; Gee, Paul Edward; Venkataraman, Shankar, Two silicon-containing precursors for gapfill enhancing dielectric liner.
  1100. Zheng, Yi; Nemani, Srinivas D.; Xia, Li-Qun, Two-layer film for next generation damascene barrier application with good oxidation resistance.
  1101. Chadbourne, Jeff, Two-piece magnetic clamp.
  1102. Xie, Bo; Demos, Alexandros T.; Yim, Kang Sub; Nowak, Thomas; Chan, Kelvin, UV assisted silylation for recovery and pore sealing of damaged low K films.
  1103. Ranish, Joseph Michael; Yokota, Yoshitaka, UV assisted thermal processing.
  1104. Kurt H. Junker ; Nicole R. Grove ; Marijean E. Azrak, UV cure process and tool for low k film formation.
  1105. Shi Jianou ; Mitchener James C., UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition.
  1106. Matsushita, Kiyohiro; Kagami, Kenichi, UV light irradiating apparatus with liquid filter.
  1107. Sing-Pin Tay ; Yao Zhi Hu ; Sagy Levy ; Jeffrey Gelpey, UV pretreatment process for ultra-thin oxynitride formation.
  1108. van Schravendijk, Bart; Crew, William, UV treatment for carbon-containing low-k dielectric repair in semiconductor processing.
  1109. van Schravendijk, Bart; Denisse, Christian, UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement.
  1110. Marking,Gregory A.; Synder,Thomas M., UV-emitting phosphor and lamp containing same.
  1111. Maydan Dan ; Somekh Sasson ; Sinha Ashok ; Fairbairn Kevin ; Lane Christopher ; Colborne Kelly ; Ponnekanti Hari K. ; Taylor W. N.(Nick), Ultra high throughput wafer vacuum processing system.
  1112. Bonora,Anthony C.; Hine,Roger G., Ultra low contact area end effector.
  1113. Waldfried, Carlo; Han, Qingyuan; Escorcia, Orlando; Berry, III, Ivan L., Ultraviolet curing processes for advanced low-k materials.
  1114. Moroishi Koutaro,JPX ; Hayashi Tarou,JPX, Ultraviolet irradiation device of the optical path division type.
  1115. Schuegraf Klaus F. ; Fazan Pierre C., Uniform dielectric film deposition on textured surfaces.
  1116. Kurara,Hironobu; Sonobe,Muneaki; Enomoto,Shinichi, Unit for varying a temperature of a test piece and testing instrument incorporating same.
  1117. Bogdan Alexei,CAX, Universal ballast control circuit.
  1118. Weber,Frank, Using polydentate ligands for sealing pores in low-k dielectrics.
  1119. Milligan, Robert Brennan; Halpin, Michael, Vacuum flange ring.
  1120. Paris ; Sr. Raymond L. (3007 Bud Ct. ; Rte. 8 Joliet IL 60436), Valve lock.
  1121. Edwin V. Babbitt, III, Valve lockout/tag out system.
  1122. Lansalot-Matras, Clément; Noh, Wontae, Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films.
  1123. Chiang, Tony P.; Shanker, Sunil; Lang, Chi-I, Vapor based combinatorial processing.
  1124. Elers, Kai Erik, Vapor deposition of metal carbide films.
  1125. McMenamin Joseph C. (Fresno CA), Vapor mass flow control system.
  1126. McMenamin Joseph C. (Oceanside CA), Vapor mass flow control system.
  1127. Samata Shuichi (Yokohama JPX) Matsushita Yoshiaki (Yokohama JPX), Vapor phase epitaxial growth apparatus.
  1128. DeYoung, James, Vapor phase repair and pore sealing of low-K dielectric materials.
  1129. Takasuka, Eiryo; Ueda, Toshio; Kuramoto, Toshiyuki; Ueno, Masaki, Vapor-phase process apparatus, vapor-phase process method, and substrate.
  1130. Bertone Daniele (Torino ITX), Vapour generator for chemical vapour deposition systems.
  1131. Coomer, Stephen Dale, Variable adjustment for precise matching of multiple chamber cavity housings.
  1132. Shugrue, John Kevin, Variable conductance gas distribution apparatus and method.
  1133. Schmotzer, Michael; Whaley, Shawn, Variable gap hard stop design.
  1134. Ratcliffe,Peter W., Vehicle accessory.
  1135. Vansell, Christopher; Collins, Fred; Getze, Richard, Vent cap device.
  1136. Cronce, Jr., Richard W., Vent pipe protective cover.
  1137. Shiu, Jung-Hau; Ko, Chung-Chi; Lee, Tze-Liang; Hsieh, Wen-Kuo; Peng, Yu-Yun, Via patterning using multiple photo multiple etch.
  1138. Nagasaka, Munetoshi; Ogasawara, Ikuo; Shinohara, Eiichi, Wafer attracting plate.
  1139. Kos Robert D. (Victoria MN), Wafer carrier.
  1140. Kos Robert D. (Victoria MN), Wafer carrier.
  1141. Sato Mitsuya (Yokohama JPX) Imai Shunzo (Yamato JPX) Hiraga Ryozo (Kanaga JPX), Wafer handling apparatus and method.
  1142. Zinger,Jan; De Ridder,Christianus G. M., Wafer handling system.
  1143. Edelstein Sergio ; Chen Steven A. ; Parkhe Vijay D., Wafer heater assembly.
  1144. Fure, Hiroshi; Nagasaki, Koichi; Uchiyama, Kyoji, Wafer heating apparatus.
  1145. Miller Michael B. (DeSoto TX), Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same.
  1146. Kawamura,Keisuke; Sasaki,Tsutomu; Matsumura,Atsuki; Ikari,Atsushi; Hamaguchi,Isao; Inoue,Yoshiharu; Tanaka,Koki; Hayashi,Shunichi, Wafer holding, wafer support member, wafer boat and heat treatment furnace.
  1147. Li Shih-Hung ; Vass Curtis, Wafer out-of-pocket detection method.
  1148. Guy T. Blalock ; Hugh E. Stroupe ; Brian F. Gordon, Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing.
  1149. Obigane Tadashi (Kofu JPX), Wafer probing test machine.
  1150. de Ridder, Chris G. M.; Boonstra, Klaas P.; Oosterlaken, Theodorus G. M.; Ravenhorst, Barend J. T., Wafer processing apparatus with heated, rotating substrate support.
  1151. Takizawa, Masahiro; Suwada, Masaei; Akagawa, Masayuki, Wafer processing apparatus with wafer alignment device.
  1152. Edwards Richard C. (Ringwood NJ) Kolesa Michael S. (Suffern NY) Ishikawa Hiroichi (Mahwah NJ), Wafer processing cluster tool batch preheating and degassing apparatus.
  1153. Burkhart Vincent E. (San Jose CA) Sugarman Michael N. (San Francisco CA) Grunes Howard E. (Santa Cruz CA), Wafer spacing mask for a substrate support chuck and method of fabricating same.
  1154. Sibley Thomas (5439 McCommas Dallas TX 75206), Wafer support fixtures for rapid thermal processing.
  1155. Halpin, Michael W.; Hawkins, Mark R.; Foster, Derrick W.; Vyne, Robert M.; Wengert, John F.; van der Jeugd, Cornelius A.; Jacobs, Loren R., Wafer support system.
  1156. Imai,Masayuki, Wafer support tool for heat treatment and heat treatment apparatus.
  1157. Yamagishi Takayuki,JPX ; Suwada Masaei,JPX ; Furukawara Kazunori,JPX, Wafer transfer mechanism.
  1158. Gordon Jeffrey M. ; Kindt Cyril M. ; Hardy Kenneth A. ; Bumgardner Steven A. ; Wegener William E. ; Meyhofer Eric, Wafer-mapping load post interface having an effector position sensing device.
  1159. Harakal Mark E. (Coopersburg PA) Wasilczyk George J. (Allentown PA) Andrew Gary D. (Walnutport PA) Makus Zdizslaw (Bad Wiesse MI DEX) Scarpati Michael (Woodhaven MI), Water based mold release compositions for making molded polyurethane foam.
  1160. Guzman,Guillermo; Worboys,Keith, Water filter.
  1161. Lee,Geun Su, Water-soluble negative photoresist polymer and composition containing the same.
  1162. Aylward, Peter T.; Smith, Thomas M.; Slater, Daniel A.; Ram, Arunachalam T., Waveguide and method of smoothing optical surfaces.
  1163. Kusunoki, Hideki, Wheel state monitoring system and wheel state detecting apparatus.
  1164. Groenhoff Larry C. (816 W. 10th St. Loveland CO 80537), Window adapter for portable box fans.
  1165. Lee Sang-in,KRX ; Ha Sun-ho,KRX, Wiring structure of semiconductor device and method for manufacturing the same.
  1166. Kushida, Toshikazu; Oka, Yohei, Work holding mechanism.
  1167. Budinger William D. (16 Southridge Rd. Kennett Square PA 19348), Workpiece holder for polishing operation.
  1168. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  1169. Conrad Richard H. (950 Idylberry Rd. San Rafael CA 94903), method of powering corona discharge in ozone generators.
  1170. Izumi Hirohiko (Sagamihara JPX), .
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로