$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

부분 재구성을 이용한 노이즈 영상의 경계선 검출 시스템
Edge Detection System for Noisy Video Sequences Using Partial Reconfiguration 원문보기

한국산학기술학회논문지 = Journal of the Korea Academia-Industrial cooperation Society, v.18 no.1, 2017년, pp.21 - 31  

윤일중 (홍익대학교 전자전산공학과) ,  정희원 (홍익대학교 전자전산공학과) ,  김승종 (한양여자대학교 컴퓨터정보과) ,  민병석 (충청대학교 전자컴퓨터학부) ,  이주흥 (홍익대학교 전자전산공학과)

초록
AI-Helper 아이콘AI-Helper

본 논문에서는 Zynq SoC 플랫폼을 사용하여 노이즈 영상의 경계선 검출 및 노이즈 감소를 위한 부분 재구성 시스템을 설계한다. 실시간 1080p 영상 시퀀스의 처리를 위한 높은 연산량을 제공하기 위해 재구성이 가능한 Programmable Logic 영역을 사용하고 하드웨어 필터를 구현한다. 또한 하드웨어 필터들은 부분 재구성 가능한 영역을 활용한 자동 재구성 기능을 통해 제한된 환경의 임베디드 시스템에서 더욱 더 효과적으로 하드웨어 자원 활용을 가능하게 한다. 주어진 한계점을 넘는 잡음을 포함한 입력 영상의 경우 적응적 노이즈 제거를 위한 필터링 연산을 하드웨어에 자동 재구성하여 수행함으로써 제안된 시스템은 향상된 경계선 검출 결과를 보여 주고 있다. 제안 하는 시스템을 사용하여 영상 시퀀스의 잡음 밀도에 따라 영상 처리 필터의 bitstream이 스스로 재구성 되었을 때 경계선 검출의 정확도에 대한 결과가 향상된 것을 (14~20배 PFOM) 구현 결과에서 보여 준다. 또한, ZyCAP을 사용하여 구현 한 경우 2.1배 빠르게 부분 재구성함을 확인하였다.

Abstract AI-Helper 아이콘AI-Helper

In this paper, the Zynq system-on-chip (SoC) platform is used to design an adaptive noise reduction and edge-detection system using partial reconfiguration. Filters are implemented in a partially reconfigurable (PR) region to provide high computational complexity in real-time, 1080p video processing...

주제어

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • Salt-and-pepper noise에 의해 손상된 영상에서 효과적인 경계선 검출을 실행하기 위해서 본 논문에서는 Median filter를 전처리 과정으로 사용하여 noise를 감소시킨 후 Sobel filter를 실행 (이후에는 Median+Sobelfilter로 표기)하는 self-reconfiguration 방법을 제안하여 경계선 검출의 효율성을 높이고자 한다.
  • 본 논문에서 우리는 적응적 부분 재구성 시스템을 이용하여 경계선 검출 필터의 성능을 증가시키는 방법을 제안하였다. 필터 영역을 FPGA에서 하드웨어로 구현하여 1080p 해상도 영상의 경계선 검출에 필요한 연산 능력을 향상시켰다.
  • 실험 결과를 보면 부분적 재구성이 전체 재구성에 걸리는 시간 보다 약 12% 감소함을 확인 할 수 있으며, Median+Sobel filter를 사용한 경우 PFOM 수치가 Sobel filter만 사용한 경우보다 14 ∼ 20배 정도 향상됨을 확인하였다. 이후 연구 진행 방향은, 하드웨어의 적응적 재구성을 더욱 효과적으로 지원할 수 있는 시스템 소프트웨어의 설계와 영상의 높은 잡음 밀도에 더욱 강인한 필터를 설계 구현하고자 한다.
본문요약 정보가 도움이 되었나요?

질의응답

핵심어 질문 논문에서 추출한 답변
영상 전처리과정에서 활용되는 경계선 검출의 한계점은 무엇인가? 영상의 경계선 검출은 처리해야 할 정보의 양을 줄여주면서 중요한 구조적 정보를 유지시켜주기 때문에 물체 검출, 얼굴 인식, 패턴 인식 등 여러 분야에서 적용되고 있으며, 인식 및 검출에 관련한 대부분의 영상 전처리과정으로 활용되고 있다. 그러나 영상이 salt-pepper 잡음에 훼손되었을 경우 경계선 검출의 성능이 급격하게 저하된다. 이러한 문제점을 방지하기 위하여 미디언 필터 (median filter)와 같은 잡음제거 필터들이 경계선 검출 전 전처리 과정으로 활용된다.
영상의 경계선 검출은 어느 분야에 적용되고 활용되는가? 영상의 경계선 검출은 처리해야 할 정보의 양을 줄여주면서 중요한 구조적 정보를 유지시켜주기 때문에 물체 검출, 얼굴 인식, 패턴 인식 등 여러 분야에서 적용되고 있으며, 인식 및 검출에 관련한 대부분의 영상 전처리과정으로 활용되고 있다. 그러나 영상이 salt-pepper 잡음에 훼손되었을 경우 경계선 검출의 성능이 급격하게 저하된다.
Xilinx FPGA에서 지원되는 PR은 어떤 기능을 지원하는가? Xilinx FPGA에서 지원되는 PR은 재구성이 가능한 하드웨어 자원에서 특정부분을 Partially Reconfigurable Region (PRR) 으로 재정의 하여 미리 설계된 하드웨어비트스트림을 다운로드하여 사용할 수 있도록 지원한다. 다양한 기능의 비트스트림들을 정의된 PRR에 실시간으로 재사용하여 하드웨어 자원을 효과적으로 활용할 수 있으며 이를 통한 전력 및 비용 감소를 얻을 수 있다[5-9].
질의응답 정보가 도움이 되었나요?

참고문헌 (23)

  1. P. Greisen, M. Runo, P. Guillet, S. Heinzle, A. Smolic, H. Kaeslin and M. Gross, "Evaluation and FPGE Implementation of Sparse Linear Solvers for Video Processing Applications", Circuits and Systems for Video Technology, IEEE, vol. 23, Issue: 8, pp. 1402-1407, Feb. 2013. DOI: https://doi.org/10.1109/TCSVT.2013.2244797 

  2. UG1165 (v2015.3), "Zynq-7000 All Programmable SoC: Embedded Design Tutorial", Xilinx, Nov. 2015. 

  3. DS190(v1.8), "Zynq-7000 All Programmable SoC Overview", Xilinx, May 2015. 

  4. UG585(v1.10), " Zynq-7000 All Programmable SoC Technical Reference Manual", Xilinx, Feb. 2015. 

  5. UG909(v2014.4), "Vivado Design Suite User Guide Partial Reconfiguration", Xilinx, Nov. 2014. 

  6. E, Stott, P. Sedcole, P. Y. K. Cheung, "Fault tolerant methods for reliability in FPGAs", International Conference on Field Programmable Logic and Applications, pp. 415-420, Sept. 2008. DOI: https://doi.org/10.1109/fpl.2008.4629973 

  7. Naveed Imran, Ronald F. DeMara, Jooheung Lee, Jian Huang, "Self-Adapting Resource Escalation for Resilient Signal Processing Architectures", Journal of Signal Processing Systems, vol. 77, no. 3, pp. 257-280, Dec. 2014. DOI: https://doi.org/10.1007/s11265-013-0811-x 

  8. C. Insaurralde, "Reconfigurable computer architectures for dynamically adaptable avionics systems", IEEE Aerospace and Electronic Systems Magazine, vol. 30, pp. 46-53, Sept. 2015. DOI: https://doi.org/10.1109/MAES.2015.140077 

  9. UG909(v2014.4), "Vivado Design Suite User Guide Partial Reconfiguration", Xilinx, Nov. 2014. 

  10. Kizheppatt Vipin and Suhaib A. Fahmy, "ZyCAP: Efficient Partial Reconfiguration Management on the Xilinx Zynq", Embedded Systems Letters, IEEE, vol. 6, Issue: 3, pp. 41-44, Sep. 2014. DOI: https://doi.org/10.1109/LES.2014.2314390 

  11. XAPP1159(v1.0), Christian Kohn, "Partial Reconfiguration of a Hardware Accelerator on Zynq-7000 All Programmable SoC Devices", Xilinx, Jan. 2013. 

  12. Soonjong Jin, Wonki Kim and Jechang Jeong, "Fine Directional De-interlacing Algorithm Using Modified Sobel operation", Consumer Electronics, IEEE, vol.54, Issue: 2, pp. 587-862, May. 2008. DOI: http://dx.doi.org/10.1109/TCE.2008.4560171 

  13. Pei-Yin Chen, Chih-Yuan Lien, and Yi-Ming Lin, "A Real-time Image Denoising Chip", Circuits and Systems, ISCAS IEEE International Symposium on, pp. 3390-3393, May. 2008. DOI: http://dx.doi.org/10.1109/ISCAS.2008.4542186 

  14. Chenglong Chen, Jiangqun Ni and Jiwu Huang, "Blind Detection of Median Filtering in Digital Images: A Difference Domain based Approach", Image Processing, IEEE, vol. 22, Issue: 12, pp. 4699-4710, Aug. 2013. DOI: https://doi.org/10.1109/TIP.2013.2277814 

  15. William K. Pratt, "Digital Image Processing", PIKS Inside, Third Edition, pp. 490-494, Aug. 2000. 

  16. XAPP1231 (v1.1), Christian Kohn, "Partial Reconfiguration of a Hardware Accelerator with Vivado Design Suite for Zynq-7000 Ap SoC Processor", Xilinx, Mar. 2015. 

  17. UG821 (v12.0), "Zynq7000 All Programmable SoC Software Developers Guide", Xilinx, Sep. 2015. 

  18. XAPP890 (v1.0), Fernando Martinez Vallina, Christian Kohn, and Pallav Joshi, "Zynq All Programmable SoC Sobel Filter Implementation Using the Vivado HLS Tool", Xilinx, Sep. 2012. 

  19. UG902 (v2015.4), "Vivado Design Suite User Guide : High-Level Synthesis", Xilinx, Nov. 2015. 

  20. Kizheppatt Vipin and Suhaib A. Fahmy, "ZyCAP: Efficient Partial Reconfiguration Management on the Xilinx Zynq", IEEE Embedded Systems Letters, vol. 6, Issue : 3, Mar. 2014. DOI: http://dx.doi.org/10.1109/LES.2014.2314390 

  21. Ikram E. Abdou and William K. Pratt, "Quantitative design and evaluation of enhancement/thresholding edge detectors", Proceedings of the IEEE , vol. 67 , no. 5, pp. 753-763, May 1979. DOI: https://doi.org/10.1109/PROC.1979.11325 

  22. J.-A. Jiang, C.-L. Chuang, Y.-L. Lu and C.-S. Fahn, "Mathematical-morphology-based edge detectors for detection of thin edges in low-contrast regions", Image Processing, IET, vol. 1, no.3 pp. 269-277, Sep. 2007. DOI: https://doi.org/10.1049/iet-ipr:20060273 

  23. UG907(v2015.4), "Vivado Design Suite User Guide: Power Analysis and Optimization", Xilinx, Nov. 2015. 

관련 콘텐츠

오픈액세스(OA) 유형

FREE

Free Access. 출판사/학술단체 등이 허락한 무료 공개 사이트를 통해 자유로운 이용이 가능한 논문

이 논문과 함께 이용한 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로