$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

고 신뢰성 Audio Target 주파수 발생장치에 대한 연구
A Study on the High Reliability Audio Target Frequency Generator for Industry and Military 원문보기

Journal of Internet Computing and Services = 인터넷정보학회논문지, v.24 no.3, 2023년, pp.9 - 26  

박창식 (Department of Computer Engineering, Sejong University) ,  한은택 (Department of Computer Engineering, Sejong University) ,  김익재 (Department of Computer Engineering, Sejong University) ,  신동규 (Department of Computer Engineering, Sejong University)

초록
AI-Helper 아이콘AI-Helper

주파수 합성기는 입력된 주파수 신호를 조작하여 필요한 주파수를 생성하는 단순한 기능을 수행하지만 통신, 제어, 감시, 의료 및 군사 분야 등의 기계장비에서 신뢰성 있는 동작을 위해서는 안정적이고 정밀한 주파수 생성이 필수적이다. 다양한 분야에서 주파수 합성은 아날로그 방식과 디지털 방식 또는 이를 혼용한 하이브리드 방식이 사용되어 왔으며, 특히 통신 분야에서는 저주파 AF(Audio Frequency)부터 고주파 마이크로파까지 각 주파수 대역에서 필요한 정밀한 주파수 합성기를 사용하고 있다. 본 논문은 AF 주파수를 사용하는 철도 궤도회로 시스템에 적용하기 위한 고도의 신뢰성이 보장된 주파수 합성기를 마이크로프로세서 사용 없이 FPGA(Field Programmable Gate Array)의 로직 회로만으로 설계하고 구현하였다. 실험결과 99.999%이상의 정확도로 Target 주파수를 발생시키는 성능을 나타내었다. 이러한 고도의 신뢰성을 갖는 AF급 주파수 합성기는 철도, 지하철 등의 교통운송 수단에 사용될 때 제동 및 신호시스템의 안전한 운영과 계획된 운행의 오차가 줄어들어 이를 이용하는 시민의 대기시간 감소와 편의성을 높이는데 중요한 역할을 할 것으로 판단된다.

Abstract AI-Helper 아이콘AI-Helper

The frequency synthesizer performs a simple function of generating the necessary frequency by manipulating the input frequency signal, but stable and precise frequency generation is essential for reliable operation in mechanical equipment such as communication, control, surveillance, medical and mil...

주제어

표/그림 (46)

AI 본문요약
AI-Helper 아이콘 AI-Helper

문제 정의

  • 반도체 집적도의 눈부신 발전으로 인한 CPLD(Complex Programmable Logic Device)와 FPGA 등의 출현으로 FS의 성능이 크게 향상되었으며, 최근에는 용도에 따라 다양한 어플리케이션을 적용할 수 있는 환경이 조성되었다. 본 논문에서는 CPU를 배제한 FPGA 기반의 고품질 산업용 FS를 제안한다.
  • 2장에서 살펴본 바와 같이 이 논문에서 연구하고자 하는 FPGA 기반의 DDS는 신뢰성과 개발의 편의성 등으로 점차 그 이용범위가 늘어나고 있다. 본 논문에서는 여러 가지 응용분야 중 철도시스템에 적용하는 연구를 수행하였다. 철도나 지하철은 전동차의 선로 주행을 정확히 감지하여 특정 구간의 열차 제어 및 감시를 수행하는 AF(Audio Frequency) 궤도회로 장치를 사용하고 있다.
  • 이 장치는 아날로그 방식으로 안정화가 완료된 후 20∼30년이 경과하여 보다 개선된 장치가 필요한 상황이 되었다. 본 논문은 가청주파수를 정확하고 안정적으로 발생시키는 장치를 제작하기 위해 원하는 가청주파수를 생성시키는 Audio Frequency Generation Equipment의 설계 및 제작을 연구한다. 이를 위해 CPU를 배제한 순수한 논리회로 구조의 FPGA를 이용한 DDS를 제안한다.
본문요약 정보가 도움이 되었나요?

참고문헌 (21)

  1. Kwangseop Yoon, Minkyu Song, Jeongjin Noh, Kangyoon Lee, "Design of Data Converters and PLL", p299~324, Hongneung Science Publishing House, 2013. https://doi.org/10.978.8997570/515 

  2. J.Tierney, C.M.Radre, and B.Gold, "A Digital Frequency Synthesizer", IEEE Transactions on Audio and Electroacoustics, Vol. AU-19, No.1, March 1971. https://doi.org/10.1109/TAU.1971.1162151 

  3. Heung-Gyoon Ryu and Hyun-Seok Lee, "Analysis And Minimization Of Phase Noise Of The Digital Hybrid PLL Frequency Synthesizer", IEEE Transactions on Consumer Electronics, Vol. 48, No. 2, May 2002. https://ieeexplore.ieee.org/abstract/document/1010136 

  4. Dong-Chan Kim, Ye-Eun Chi, Junhyeong Park, "High-Resolution Digital Beamforming Receiver Using DDS-PLL Signal Generator for 5G Mobile Communication", IEEE Transactions on Antennas and Propagation, Vol. 70, No. 2, February 2022. https://doi.org/10.1109/TAP.2021.3111334 

  5. Eliabe Duarte Queiroz, Joo Ina'cio Yutaka Ota and Jos'e Antenor Pomilio, "State-Space Representation Model of Phase-Lock Loop Systems for Stability Analysis of Grid-connected Converters", 14th IEEE International Conference on Industry Applications, 2021. https://doi.org/10.1109/INDUSCON51756.2021.9529609 

  6. Salah Hassan Alkurwy, "A Novel ROM Design for High Speed Direct Digital Frequency Synthesizer", Lap Lambert Academic Publishing, Germany, 2014. 

  7. S. Gao and M. Barnes, "Phase-locked loops for grid-tied inverters: Comparison and testing", in 8th IET International Conference on Power Electronics, Machines and Drives (PEMD 2016), pp.1-6, April 2016. https://digital-library.theiet.org/content/conferences/10.1049/cp.2016.0304 

  8. Andrzej Rokita, "Direct Analog Synthesis Modules For An X-Band Frequency Source", 12th International Conference on Microwaves and Radar. MIKON-98. Conference Proceedings (IEEE Cat. No.98EX195), Krakow, Poland, pp. 63-68 vol. 1, 1998. https://doi.org/10.1109/MIKON.1998.737920 

  9. Yuchen Wang, Xuguang Bao, Wei Hua, "Implementation of Embedded Magnetic Encoder for Rotor Position Detection Based on Arbitrary Phase Shift Phase Lock Loop", IEEE Transactions on Industrial Electronics, vol. 69, no. 2, pp. 2033-2043, February 2002. https://doi.org/10.1109/TIE.2021.3062270 

  10. Kim Sang-woo, Kim Joo-seong, Oh Hwan-sul, Cheon Jeong-in, Park Gyeong-seok, Go Seon-jun, Lee Kang-yoon, "Design of Low Power Frequency Synthesizer for GPS Receiver", 2022. 

  11. Changhong Shan, Zhongze Chen, Hua Yuan, Wei Hu, "Design and Implementation of a FPGA-based Direct Digital Synthesizer", IEEE, 2011, https://doi.org/10.1109/ICECENG.2011.6057152 

  12. A.A Alsharef, M.A. Mohd. Ali and H. Sanusi, "Direct Digital Frequency Synthesizer Design and Implementation on FPGA", Reserach Journal of Applied Sciences, 7(8):387-390, 

  13. Matt Bergeron and Alan N. Willson, Jr., "A 1-GHz Direct Digital Frequency Synthesizer in an FPGA", 2014 IEEE International Symposium on Circuits and Systems (ISCAS), Melbourne, VIC, Australia, pp. 329-332, 2014. http://dx.doi.org/10.1109/ISCAS.2014.6865132 

  14. M.Saber Saber, M.Elmasry, M.EldinAbo-Elsoud, "Quadrature Direct Digital Frequency Synthesizer Using FPGA", 2006 International Conference on Computer Engineering and Systems, Cairo, Egypt, pp. 14-18, 2006. https://doi.org/10.1109/ICCES.2006.320418 

  15. Wenjun Chen, Tianya Wu, Wangwang Tang, Kai Jin, Guangming Huang, "Implementation Method of CORDIC Algorithm to Improve DDFS Performance", IEEE 3rd International Conference on Electronics Technology, 2020. https://doi.org/10.1109/ICET49382.2020.9119621 

  16. Yixiong Yang, Zhibo Wang, Pei Yang, Meng-Fan Chang, Mon-Shu Ho, Huazhong Yang, Yongpan Liu, "A 2-GHz Direct Digital Frequency Synthesizer Based on LUT and Rotation", 018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, Italy, pp. 1-5, 2018. https://doi.org/10.1109/ISCAS.2018.8351207 

  17. Kim Dong-shik, Lee Haeng-soo, Kim Jong-pil, Kim Seon-joo, "Design and Modeling of a DDS Driven Offset PLL with DAC", Korea Internet Broadcasting and Communication Society, Vol. 12, No. 5, pp. 1~9, October 2012. https://doi.org/10.7236/JIWIT.2012.12.5.1 

  18. Akila Gothandaraman, and Syed K., "An All - Digital Frequency Locked Loop(ADFLL) with a Pulse Output Direct Digital Frequency Synthesizer(DDFS) and an Adaptive Phase Estimator", IEEE Radio Frequency Integrated Circuits Symposium, 2003, https://doi.org/10.1109/RFIC.2003.1213949 

  19. Microchip, "FPGA and SoC Product Families", 2019, http://ww1.microchip.com/downloads/en/DeviceDoc/00002871B.pdf 

  20. Bombardier, "EBI Track 200 TI21 Audio Frequency Track Circuit", Technical Manual, pp. 7-1~4, October 2011. https://docplayer.net/28867426-Ebi-track-200-ti21-audiofrequency-track-circuit.html 

  21. Transport RailCorp, "TI21 Track Circuit Test and Investigation Guideline", p13~14, Issued December 2010. https://manualzz.com/doc/8332803/ti21-track-circuit-testand-investigation-guideline--pdf- 

저자의 다른 논문 :

관련 콘텐츠

오픈액세스(OA) 유형

BRONZE

출판사/학술단체 등이 한시적으로 특별한 프로모션 또는 일정기간 경과 후 접근을 허용하여, 출판사/학술단체 등의 사이트에서 이용 가능한 논문

이 논문과 함께 이용한 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로