$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Massively parallel probabilistic computing with sparse Ising machines

Nature electronics, v.5 no.7, 2022년, pp.460 - 468  

Aadit, Navid Anjum ,  Grimaldi, Andrea ,  Carpentieri, Mario ,  Theogarajan, Luke ,  Martinis, John M. ,  Finocchio, Giovanni ,  Camsari, Kerem Y.

초록이 없습니다.

참고문헌 (49)

  1. J. Chem. Phys. N Metropolis 21 1087 1953 10.1063/1.1699114 Metropolis, N., Rosenbluth, A. W., Rosenbluth, M. N., Teller, A. H. & Teller, E. Equation of state calculations by fast computing machines. J. Chem. Phys. 21, 1087-1092 (1953). 

  2. 10.2172/1807223 Buluc, A. et al. Randomized algorithms for scientific computing (RASC). Preprint at https://arxiv.org/abs/2104.11079 (2021). 

  3. 10.1007/978-3-642-35289-8_32 Hinton, G. E. A practical guide to training restricted Boltzmann machines. in Neural Networks: Tricks of the Trade 599-619 (Springer, 2012). 

  4. Mansinghka, V. K., Jonas, E. M. & Tenenbaum, J. B. Stochastic Digital Circuits for Probabilistic Inference. Report No. MITCSAIL-TR (Massachussets Institute of Technology, 2008). 

  5. J. Am. Stat. Assoc. A Bouchard-Côté 113 855 2018 10.1080/01621459.2017.1294075 Bouchard-Côté, A., J Vollmer, S. & Doucet, A. The bouncy particle sampler: a nonreversible rejection-free Markov chain Monte Carlo method. J. Am. Stat. Assoc. 113, 855-867 (2018). 

  6. Science S Kirkpatrick 220 671 1983 10.1126/science.220.4598.671 Kirkpatrick, S., Gelatt, C. D. & Vecchi, M. P. Optimization by simulated annealing. Science 220, 671-680 (1983). 

  7. Science PL McMahon 354 614 2016 10.1126/science.aah5178 McMahon, P. L. et al. A fully programmable 100-spin coherent Ising machine with all-to-all connections. Science 354, 614-617 (2016). 

  8. 10.1109/ISSCC.2015.7063111 Yamaokam, M. et al. 24.3 20k-spin Ising chip for combinational optimization problem with CMOS annealing. In 2015 IEEE International Solid-State Circuits Conference-(ISSCC) Digest of Technical Papers 1-3 (IEEE, 2015). 

  9. Sci. Adv. H Goto 5 eaav2372 2019 10.1126/sciadv.aav2372 Goto, H., Tatsumura, K. & Dixon, A. R. Combinatorial optimization by simulating adiabatic bifurcations in nonlinear Hamiltonian systems. Sci. Adv. 5, eaav2372 (2019). 

  10. 10.1007/978-3-030-19311-9_19 Wang, T. & Roychowdhury, J. OIM: oscillator-based Ising machines for solving combinatorial optimisation problems. In International Conference on Unconventional Computation and Natural Computation 232-256 (Springer, 2019). 

  11. 10.1109/VLSICircuits18222.2020.9162869 Ahmed, I., Chiu, P.-W. & Kim, C. H. A probabilistic self-annealing compute fabric based on 560 hexagonally coupled ring oscillators for solving combinatorial optimization problems. In 2020 IEEE Symposium on VLSI Circuits 1-2 (IEEE, 2020). 

  12. Nat. Electron. S Dutta 4 502 2021 10.1038/s41928-021-00616-7 Dutta, S. et al. An Ising Hamiltonian solver based on coupled stochastic phase-transition nano-oscillators. Nat. Electron. 4, 502-512 (2021). 

  13. 10.1038/s41586-019-1557-9 Borders, W. A. et al. Integer factorization using stochastic magnetic tunnel junctions. Nature 573, 390-393 (2019). 

  14. Front. Phys. M Aramon 7 48 2019 10.3389/fphy.2019.00048 Aramon, M. et al. Physics-inspired optimization for quadratic unconstrained problems using a digital annealer. Front. Phys. 7, 48 (2019). 

  15. 10.1109/ISSCC19947.2020.9062965 Yamamoto, K. et al. 7.3 STATICA: a 512-spin 0.25M-weight full-digital annealing processor with a near-memory all-spin-updates-at-once architecture for combinatorial optimization with complete spin-spin interactions. In 2020 IEEE International Solid-State Circuits Conference-(ISSCC) 138-140 (IEEE, 2020). 

  16. Nat. Electron. S Patel 5 92 2022 10.1038/s41928-022-00714-0 Patel, S., Canoza, P. & Salahuddin, S. Logically synthesized and hardware-accelerated restricted Boltzmann machines for combinatorial optimization and integer factorization. Nat. Electron. 5, 92-101 (2022). 

  17. 10.1109/CICC51472.2021.9431401 Su, Y., Mu, J., Kim, H. & Kim, B. A 252 spins scalable CMOS Ising chip featuring sparse and reconfigurable spin interconnects for combinatorial optimization problems. In 2021 IEEE Custom Integrated Circuits Conference (CICC) 1-2 (IEEE, 2021). 

  18. IEEE Trans. Circuits Syst. I, Reg. Papers S Smithson 66 2263 2019 10.1109/TCSI.2018.2889732 Smithson, S. et al. Efficient CMOS invertible logic using stochastic computing. IEEE Trans. Circuits Syst. I, Reg. Papers 66, 2263-2274 (2019). 

  19. Nat. Electron. F Cai 3 409 2020 10.1038/s41928-020-0436-6 Cai, F. et al. Power-efficient combinatorial optimization using intrinsic noise in memristor Hopfield neural networks. Nat. Electron. 3, 409-418 (2020). 

  20. Nat. Electron. K Tatsumura 4 208 2021 10.1038/s41928-021-00546-4 Tatsumura, K., Yamasaki, M. & Goto, H. Scaling out Ising machines using a multi-chip architecture for simulated bifurcation. Nat. Electron. 4, 208-217 (2021). 

  21. 10.3389/fphy.2021.589626 Dixit, V., Selvarajan, R., Alam, M. A., Humble, T. S. & Kais, S. Training restricted Boltzmann machines with a D-Wave quantum annealer. Front. Phys. 9, 589626 (2021). 

  22. Koller D. & Friedman, N. Probabilistic Graphical Models: Principles and Techniques (MIT Press, 2009). 

  23. J. Magn. Magn. Mater. G Finocchio 521 167506 2021 10.1016/j.jmmm.2020.167506 Finocchio, G. et al. The promise of spintronics for unconventional computing. J. Magn. Magn. Mater. 521, 167506 (2021). 

  24. Andriyash, E. et al. Boosting Integer Factoring Performance via Quantum Annealing Offsets. Report No. 14 (D-Wave Technical Report Series, 2016). 

  25. Sci. Rep. R Dridi 7 43048 2017 10.1038/srep43048 Dridi, R. & Alghassi, H. Prime factorization using quantum annealing and computational algebraic geometry. Sci. Rep. 7, 43048 (2017). 

  26. Sci. Rep. S Jiang 8 17667 2018 10.1038/s41598-018-36058-z Jiang, S., Britt, K. A., McCaskey, A. J., S Humble, T. & Kais, S. Quantum annealing for prime factorization. Sci. Rep. 8, 17667 (2018). 

  27. 10.3389/fphy.2014.00005 Lucas, A. Ising formulations of many NP problems. Front. Phys. 2, 5 (2014). 

  28. Phys. Rev. X KY Camsari 7 031014 2017 Camsari, K. Y. et al. Stochastic p-bits for invertible logic. Phys. Rev. X 7, 031014 (2017). 

  29. 10.1109/IEEECONF44664.2019.9048700 Onizawa, N. et al. A design framework for invertible logic. In 2019 53rd Asilomar Conference on Signals, Systems, and Computers 312-316 (IEEE, 2019). 

  30. Commun. ACM D Brélaz 22 251 1979 10.1145/359094.359101 Brélaz, D. New methods to color the vertices of a graph. Commun. ACM 22, 251-256 (1979). 

  31. 10.24963/ijcai.2017/672 De Sa, C., Re, C. & Olukotun, K. Ensuring rapid mixing and low bias for asynchronous Gibbs sampling. In Proc. 33rd International Conference on Machine Learning 1567-1576 (PMLR, 2016). 

  32. 10.1109/FCCM.2019.00075 Ko, G. G., Chai, Y., Rutenbar, R. A., Brooks, D. & Wei, G.-Y. FlexGibbs: reconfigurable parallel Gibbs sampling accelerator for structured graphs. In 2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM) 334 (IEEE, 2019). 

  33. Comput. Phys. Commun. Y Fang 185 2467 2014 10.1016/j.cpc.2014.05.020 Fang, Y. et al. Parallel tempering simulation of the three-dimensional Edwards-Anderson model with compact asynchronous multispin coding on GPU. Comput. Phys. Commun. 185, 2467-2478 (2014). 

  34. 10.1145/3295500.3356149 Yang, K., Chen, Y.-F., Roumpos, G., Colby, C. & Anderson, J. High performance Monte Carlo simulation of Ising model on TPU clusters. In Proc. International Conference for High Performance Computing, Networking, Storage and Analysis 83 (ACM, 2019). 

  35. 10.1109/CANDAR.2016.0081 Yoshimura, C., Hayashi, M., Okuyama, T. & Yamaoka, M. FPGA-based annealing processor for Ising model. In 2016 Fourth International Symposium on Computing and Networking (CANDAR) 436-442 (IEEE, 2016). 

  36. 10.1007/978-1-4419-9092-1_25 Kaminsky, W. M. & Lloyd, S. Scalable architecture for adiabatic quantum computing of NP-hard problems. in Quantum Computing and Quantum Bits in Mesoscopic Systems 229-236 (Springer, 2004). 

  37. Comput. Phys. Commun. B Block 181 1549 2010 10.1016/j.cpc.2010.05.005 Block, B., Virnau, P. & Preis, T. Multi-GPU accelerated multi-spin Monte Carlo simulations of the 2D Ising model. Comput. Phys. Commun. 181, 1549-1556 (2010). 

  38. J. Comput. Phys. T Preis 228 4468 2009 10.1016/j.jcp.2009.03.018 Preis, T., Virnau, P., Paul, W. & Schneider, J. J. GPU accelerated Monte Carlo simulation of the 2D and 3D Ising model. J. Comput. Phys. 228, 4468-4477 (2009). 

  39. Mater. Today S Bhatti 20 530 2017 10.1016/j.mattod.2017.07.007 Bhatti, S. et al. Spintronics based random access memory: a review. Mater. Today 20, 530-548 (2017). 

  40. Nano Lett. C Safranski 21 2040 2021 10.1021/acs.nanolett.0c04652 Safranski, C. et al. Demonstration of nanosecond operation in stochastic magnetic tunnel junctions. Nano Lett. 21, 2040-2045 (2021). 

  41. Phys. Rev. Lett. K Hayakawa 126 117202 2021 10.1103/PhysRevLett.126.117202 Hayakawa, K. et al. Nanosecond random telegraph noise in in-plane magnetic tunnel junctions. Phys. Rev. Lett. 126, 117202 (2021). 

  42. IEEE Access B Sutton 8 157238 2020 10.1109/ACCESS.2020.3018682 Sutton, B. et al. Autonomous probabilistic coprocessing with petaflips per second. IEEE Access 8, 157238-157252 (2020). 

  43. Mohseni, M. et al. Nonequilibrium Monte Carlo for unfreezing variables in hard combinatorial optimization. Preprint at https://arxiv.org/abs/2111.13628 (2021). 

  44. Sci. Rep. M Mosca 10 15022 2020 10.1038/s41598-020-71654-y Mosca, M., Marcos Vensi Basso, J. & Verschoor, S. R. On speeding up factoring with quantum SAT solvers. Sci. Rep. 10, 15022 (2020). 

  45. Sat HH Hoos 2000 283 2000 Hoos, H. H. & Stützle, T. SATLIB: an online resource for research on SAT. Sat 2000, 283-292 (2000). 

  46. Fleury, A. B. K. F. M. & Heisinger, M. CaDiCaL, KISSAT, PARACOOBA, PLINGELING and TREENGELING entering the SAT competition 2020. SAT COMPETITION 2020, 50 (2020). 

  47. Soos, M., Devriendt, J., Gocht, S., Shaw, A. & Meel, K. S. CryptoMiniSat with CCAnr at the SAT competition 2020. SAT COMPETITION 2020, 27 (2020). 

  48. 10.1145/3445814.3446697 Zhang, X., Bashizade, R., Wang, Y., Mukherjee, S. & Lebeck, A. R. Statistical robustness of Markov chain Monte Carlo accelerators. In Proc. 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems 959-974 (ACM, 2021). 

  49. Biere, A. CaDiCaL, LINGELING, PLINGELING, TREENGELING and YALSAT entering the SAT competition 2017. In Proc. SAT Competition 13-14 (2017). 

관련 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로