$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Co-packaged optics (CPO): status, challenges, and solutions 원문보기

Frontiers of optoelectronics, v.16 no.1, 2023년, pp.1 -   

Tan, Min (School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan, 430074 China) ,  Xu, Jiang (Department of Electronic and Computer Engineering, The Hong Kong University of Science and Technology, Hong Kong, China) ,  Liu, Siyang (Chongqing United Micro-Electronics Center (CUMEC), Chongqing, 401332 China) ,  Feng, Junbo (Chongqing United Micro-Electronics Center (CUMEC), Chongqing, 401332 China) ,  Zhang, Hua (Hisense Broadband Multimedia Technologies Co., Ltd., Qingdao, 266000 China) ,  Yao, Chaonan (Hisense Broadband Multimedia Technologies Co., Ltd., Qingdao, 266000 China) ,  Chen, Shixi (Department of Electronic and Computer Engineering, The Hong Kong University of Science and Technology, Hong Kong, China) ,  Guo, Hangyu (Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 China) ,  Han, Gengshi (Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 China) ,  Wen, Zhanhao (Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 China) ,  Chen, Bao (Institute of Microelectronics, Chinese Academy of Sciences, Beijing, 100029 China) ,  He, Yu ,  Zheng, Xuqiang ,  Ming, Da ,  Tu, Yaowen ,  Fu, Qiang ,  Qi, Nan ,  Li, Dan ,  Geng, Li ,  Wen, Song ,  Yang, Fenghe ,  He, Huimin ,  Liu, Fengman ,  Xue, Haiyun ,  Wang, Yuhang ,  Qiu, Ciyuan ,  Mi, Guangcan ,  Li, Yanbo ,  Chang, Tianhai ,  Lai, Mingche ,  Zhang, Luo ,  Hao, Qinfen ,  Qin, Mengyuan

Abstract AI-Helper 아이콘AI-Helper

Due to the rise of 5G, IoT, AI, and high-performance computing applications, datacenter traffic has grown at a compound annual growth rate of nearly 30%. Furthermore, nearly three-fourths of the datacenter traffic resides within datacenters. The conventional pluggable optics increases at a much slow...

주제어

참고문헌 (246)

  1. 1. Mahajan R Li X Fryman J Zhang Z Nekkanty S Tadayon P Jaussi J Shumarayev S Agrawal A Jadhav S Singh KA Alduino A Gujjula S Chiu CP Nordstog T Hosseini KJ Sane S Deshpande N Aygun K Sarkar A Dobriyal P Pothukuchi S Pogue V Hui D Co-packaged photonics for high performance computing: status, challenges and opportunities J. Lightwave Technol. 2022 40 2 379 392 10.1109/JLT.2021.3104725 

  2. 2. Kumar, A., Chang, L., Tellez, G., Clevenger, L., Burns, J.: System performance: from enterprise to AI. In: Proceedings of 2018 IEEE International Electron Devices Meeting (IEDM). pp.28.1.1–28.1.4 (2018) 

  3. 3. Siegl, P., Buchty, R., Berekovic, M.: Data-centric computing frontiers: a survey on processing-in-memory. In: Proceedings of the Second International Symposium on Memory Systems. pp.295–308 (2016) 

  4. 4. Maniotis P Schares L Lee BG Taubenblatt MA Kuchta DM Toward lower-diameter large-scale HPC and data center networks with co-packaged optics J. Opt. Commun. Netw. 2021 13 1 A67 A77 10.1364/JOCN.402676 

  5. 5. Minkenberg C Kucharewski N Rodriguez G Network architecture in the era of integrated optics J. Opt. Commun. Netw. 2019 11 1 A72 A83 10.1364/JOCN.11.000A72 

  6. 6. Liao, L., Fathololoumi, S., Hui, D.: High density silicon photonic integrated circuits and photonic engine for optical co-packaged ethernet switch. In: Proceedings of 2020 European Conference on Optical Communications (ECOC). pp.1–4 (2020) 

  7. 7. JDF CPO:3.2 Tb/s Co-Packaged Optics Optical Module Product Requirements Document.V 1.0. (2021) 

  8. 8. Kuchta, D., Proesel, J., Doany, F., Lee, W. Dickson, T., Ainspan, H., Meghelli, M., Pepeljugoski, P., Gu, X., Beakes, M., Schultz, M., Taubenblatt, M., Fortier, P., Dufort, C., Turcotte, E., Pion, M., Bureau, C., Flens, F., Light, G., Trekell, B., Koski, K.: Multi-wavelength optical transceivers integrated on node (MOTION). In: Proceedings of 2019 Optical Fiber Communications Conference and Exhibition (OFC). pp. 1–3 (2019) 

  9. 9. Ranovus-Odin-Co-Packaging-Next-Gen-Dc-Switches-And-Accelerators-With-Silicon-Photonics. Available at website of fuse.wikichip.org/news/3420 

  10. 10. Fathololoumi S Hui D Jadhav S Chen J Nguyen K Sakib M Li Z Mahalingam H Amiralizadeh S Tang N Potluri H Montazeri M Frish H Defrees R Seibert C Krichevsky A Doylend J Heck J Venables R Dahal A 1.6 Tbps silicon photonics integrated circuit and 800 Gbps photonic engine for switch co-packaging demonstration J. Lightwave Technol. 2021 39 4 1155 1161 10.1109/JLT.2020.3039218 

  11. 11. Hosseini, K.: 8 Tbps co-packaged FPGA and silicon photonics optical IO. In: Proceedings of 2021 Optical Fiber Communication Conference and Exhibition (OFC). (2021) 

  12. 12. Hengtong Rockley launched the first 3.2T CPO prototype based on silicon optical technology in China. Available at website of finance.sina.com.cn/tech/2021–01–29/doc-ikftssap1755910 (in Chinese) 

  13. 13. Stone, R., Chen, R., Rahn, J., et al.: Co-packaged Optics for Data Center Switching: IEEE, 2020 

  14. 14. Mu X Wu S Cheng L Fu HY Edge Couplers in Silicon Photonic Integrated Circuits: A Review Appl. Sci. (Basel) 2020 10 4 1538 10.3390/app10041538 

  15. 15. Baehr-Jones T Hochberg M Walker C EricChan F Koshinz D Krug W Scherer A Baehr-Jones T Analysis of the tuning sensitivity of silicon-on-insulator optical ring resonators J. Lightwave Technol. 2005 23 12 4215 4221 10.1109/JLT.2005.853147 

  16. 16. Sun Y Liu F Xue H High-speed and high-density optoelectronic co-package technologies ZTE Technol. J. 2018 24 4 27 32 

  17. 17. Wang H Research of the hybrid silicon lasers for the silison photonic integrated chip 2016 Beijing University of Chinese Academy Sciences (in Chinese) 

  18. 18. Li Y Tao L Ran G Chen W A selective area metal bonding method for electrically pumped silicon-based hybrid lasers Exper. Technol. Manag. 2016 33 10 4954 

  19. 19. Takei R Suzuki M Omoda E Manako S Kamei T Mori M Sakakibara Y Silicon knife-edge taper waveguide for ultra low-loss spot-size converter fabricated by photo lithography Appl. Phys. Lett. 2013 102 10 101108 10.1063/1.4795308 

  20. 20. Papes M Cheben P Benedikovic D Schmid JH Pond J Halir R Ortega-Moñux A Wangüemert-Pérez G Ye WN Xu DX Janz S Dado M Vašinek V Fiber-chip edge coupler with large mode size for silicon photonic wire waveguides Opt. Express 2016 24 5 5026 5038 10.1364/OE.24.005026 29092331 

  21. 21. Picard, M.J., Latrasse, C., Larouche, C., Painchaud, Y., Poulin, M., Pelletier, F., Guy, M.: CMOS-compatible spot-size converter for optical fiber to sub-um silicon waveguide coupling with low-loss low-wavelength dependence and high tolerance to misalignment. In: Proceedings of the SPIE OPTO. (2016) 

  22. 22. Billah MR Blaicher M Hoose T Dietrich PI Marin-Palomo P Lindenmann N Nesic A Hofmann A Troppenz U Moehrle M Randel S Freude W Koos C Hybrid integration of silicon photonics circuits and InP lasers by photonic wire bonding Optica 2018 5 7 876 10.1364/OPTICA.5.000876 

  23. 23. JDF CPO:. Co-Packaged Optical Module Discussion Document.V1.0 

  24. 24. Zheng Q Yang P Xue H He H Cao R Dai F Sun S Liu F Wang Q Cao L Chen L Sun X Sun P Research on 3D optical module integrating edge coupler and TSV J. Lightwave Technol. 2022 40 18 6190 6200 10.1109/JLT.2022.3188362 

  25. 25. Thomson D Zilkie A Bowers JE Komljenovic T Reed GT Vivien L Marris-Morini D Cassan E Virot L Fédéli JM Hartmann JM Schmid JH Xu DX Boeuf F O’Brien P Mashanovich GZ Nedeljkovic M Roadmap on silicon photonics J. Opt. 2016 18 7 073003 10.1088/2040-8978/18/7/073003 

  26. 26. Barwicz, T., Janta-Polczynski, A., Khater, M., Yan, T., Green, W.: An O-band metamaterial converter interfacing standard optical fibers to silicon nanophotonic waveguides. In: Proceedings of OFC. (2015) 

  27. 27. Barwicz T Peng B Leidy R Janta-Polczynski A Houghton T Khater M Kamlapurkar S Engelmann S Fortier P Boyer N Green WMJ Integrated metamaterial interfaces for self-aligned fiber-to-chip coupling in volume manufacturing IEEE J. Sel. Top. Quantum Electron. 2018 25 3 1 13 10.1109/JSTQE.2018.2879018 

  28. 28. Zhang Y Liu S Zhai W Peng C Wang Z Feng J Guo J Reconfigurable spot size converter for the silicon photonics integrated circuit Opt. Express 2021 29 23 37703 37711 10.1364/OE.438652 34808837 

  29. 29. Sun, C.: TeraPHY: an O-band WDM electro-optic platform for low power, terabit/s optical I/O. In: Proceedings of 2020 IEEE Symposium on VLSI Technology. pp. 1–2 (2020) 

  30. 30. Bian, Y.: Hybrid III-V laser integration on a monolithic silicon photonic platform. In: Proceedings of Optical Fiber Communication Conference. pp.M5A-2 (2021) 

  31. 31. Jones R Doussiere P Driscoll JB Lin W Yu H Akulova Y Komljenovic T Bowers JE Heterogeneously integrated InP/silicon photonics: fabricating fully functional transceivers IEEE Nanotechnol. Mag. 2019 13 2 17 26 10.1109/MNANO.2019.2891369 

  32. 32. Park H Fang A Kodama S Bowers J Hybrid silicon evanescent laser fabricated with a silicon waveguide and III-V offset quantum wells Opt. Express 2005 13 23 9460 9464 10.1364/OPEX.13.009460 19503148 

  33. 33. Fang AW Park H Cohen O Jones R Paniccia MJ Bowers JE Electrically pumped hybrid AlGaInAs-silicon evanescent laser Opt. Express 2006 14 20 9203 9210 10.1364/OE.14.009203 19529301 

  34. 34. Miyaguchi, K.: 110 GHz through-silicon via’s integrated in silicon photonics interposers for next-generation optical modules. In: Proceedings of 2021 European Conference on Optical Communication (ECOC). pp. 1–4 (2021) 

  35. 35. Bogaerts, L.: High-speed TSV integration in an active silicon photonics interposer platform. In: Proceedings of 2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S). pp. 1–3 (2018) 

  36. 36. Cheng Q Bahadori M Glick M Rumley S Bergman K Recent advances in optical technologies for datacenters: a review Optica 2018 5 11 1354 1370 10.1364/OPTICA.5.001354 

  37. 37. Sackinger E The transimpedance limit IEEE Trans. Circuits Syst. Regul. Pap. 2010 57 8 1848 1856 10.1109/TCSI.2009.2037847 

  38. 38. Singh J Ciavatti J Sundaram K Wong JS Bandyopadhyay A Zhang X Li S Bellaouar A Watts J Lee JG Samavedam SB 14-nm FinFET technology for analog and RF applications IEEE Trans. Electron Dev. 2018 65 1 31 37 10.1109/TED.2017.2776838 

  39. 39. Li D Liu M Gao S Shi Y Zhang Y Li Z Chiang PY Maloberti F Geng L Low-noise broadband CMOS TIA based on multi-stage stagger-tuned amplifier for high-speed high-sensitivity optical communication IEEE Trans. Circuits Syst. Regul. Pap. 2019 66 10 3676 3689 10.1109/TCSI.2019.2916150 

  40. 40. Sackinger E Broadband Circuits for Optical Fiber Communication 2005 New York Wiley 

  41. 41. Razavi B Design of Integrated Circuits for Optical Communications 2003 New York McGraw-Hill 

  42. 42. Li D Minoia G Repossi M Baldi D Temporiti E Mazzanti A Svelto F A low-noise design technique for high-speed CMOS optical receivers IEEE J. Solid-State Circuits 2014 49 6 1437 1447 10.1109/JSSC.2014.2322868 

  43. 43. De Dobbelaere, P.: Advanced silicon photonics technology platform leveraging a semiconductor supply chain. In: Proceedings of IEDM. pp. 757–760 (2017) 

  44. 44. Li D Minoia G Repossi M Baldi D Temporiti E Ghilioni A Svelto F Multi-rate low-noise optical receiver front-end J. Lightwave Technol. 2020 38 18 4978 4986 10.1109/JLT.2020.2997980 

  45. 45. Lakshmikumar KR Kurylak A Nagaraju M Booth R Nandwana RK Pampanin J Boccuzzi V A process and temperature insensitive CMOS linear TIA for 100 Gb/s/lambda PAM-4 optical links IEEE J. Solid-State Circuits 2019 54 11 3180 3190 10.1109/JSSC.2019.2939652 

  46. 46. Daneshgar, S.: A 128 Gb/s PAM4 linear TIA with 12.6 pA/√Hz noise density in 22 nm FinFET CMOS. In: Proceedings of IEEE Radio Frequency Integrated Circuits Symposium. (2021) 

  47. 47. Awny A 100 Gb/s differential linear TIAs with less than 10 pA/Hz in 130-nm SiGe: C BiCMOS IEEE Trans. Microw. Theory Tech. 2018 66 2 973 986 10.1109/TMTT.2017.2752170 

  48. 48. Aschei L A 42-GHz TIA in 28-nm CMOS with less than 1.8% THD for optical coherent receivers IEEE Solid-State Circuits Lett 2020 3 238 241 10.1109/LSSC.2020.3012691 

  49. 49. Shen, X.: Silicon photonic integrated circuits and its application in data center. In: Proceedings of 7th Symposium on Novel Photoelectronic Detection Technology and Applications. pp.1176380 (2021) 

  50. 50. Margalit N Xiang C Bowers SM Bjorlin A Blum R Bowers JE Perspective on the future of silicon photonics and electronics Appl. Phys. Lett. 2021 118 22 220501 10.1063/5.0050117 

  51. 51. Porter G Strong R Farrington N Forencich A Chen-Sun P Rosing T Fainman Y Papen G Vahdat A Integrating microsecond circuit switching into the data center Comput. Commun. Rev. 2013 43 4 447 458 10.1145/2534169.2486007 

  52. 52. Imran, M.: HOSA: hybrid optical switch architecture for data center networks. In: Proceedings of 12th ACM International Conference on Computing Frontiers. pp.1–8 (2015) 

  53. 53. Ballani, H.: Sirius: a flat datacenter network with nanosecond optical switching. In: Proceedings of the Annual conference of the ACM Special Interest Group on Data Communication on the Applications, Technologies, Architectures, and Protocols for Computer Communication. pp. 782–797 (2020) 

  54. 54. Raja AS Lange S Karpov M Shi K Fu X Behrendt R Cletheroe D Lukashchuk A Haller I Karinou F Thomsen B Jozwik K Liu J Costa P Kippenberg TJ Ballani H Ultrafast optical circuit switching for data centers using integrated soliton microcombs Nat. Commun. 2021 12 1 5867 10.1038/s41467-021-25841-8 34654810 

  55. 55. Tian, Y.: 800 Gb/s-FR4 specification and interoperability analysis. In: Proceedings of 2021 Optical Fiber Communications Conference and Exhibition (OFC). pp. 1–3 (2021) 

  56. 56. Che, D.: Long-term reliable> 200-Gb/s directly modulated lasers with 800 GbE-compliant DSP. In: Proceedings of 2021 Optical Fiber Communications Conference and Exhibition (OFC). pp. 1–3 (2021) 

  57. 57. Zhang, F.: Up to single lane 200G optical interconnects with silicon photonic modulator. In: Proceedings of 2019 Optical Fiber Communications Conference and Exhibition (OFC). pp. 1–3 (2019) 

  58. 58. Farrington, N.: Helios: a hybrid electrical/optical switch architecture for modular data centers. In: Proceedings of the ACM SIGCOMM 2010 Conference. pp. 339–350 (2010) 

  59. 59. Dumais P Goodwill DJ Celo D Jiang J Zhang C Zhao F Tu X Zhang C Yan S He J Li M Liu W Wei Y Geng D Mehrvar H Bernier E Silicon photonic switch subsystem with 900 monolithically integrated calibration photodiodes and 64-fiber package J. Lightwave Technol. 2018 36 2 233 238 10.1109/JLT.2017.2755578 

  60. 60. Qiao, L.: 16× 16 non-blocking silicon electro-optic switch based on Mach-Zehnder interferometers. In: Proceedings of Optical Fiber Communication Conference. pp. Th1C-2 (2016) 

  61. 61. Zhai, Z.: Delivering ring all reduce services in WSS-based all-optical rearrangeable clos network. In: Proceedings of Asia Communications and Photonics Conference. pp. T4A-139 (2021) 

  62. 62. Lee, B.G.: Monolithically integrated photonic switches driven by digital CMOS. In: Proceedings of Conf. Lasers Electro-Optics. pp.CTu1L.1 (2013) 

  63. 63. Forencich, A.: System-level demonstration of a dynamically reconfigured burst-mode link using a nanosecond si-photonic switch. In: Proceedings of Optical Fiber Communications Conference and Exposition. pp.Th1G.4 (2018) 

  64. 64. Forencich A Kamchevska V Dupuis N Lee BG Baks CW Papen G Schares L A dynamically-reconfigurable burst-mode link using a nanosecond photonic switch J. Lightwave Technol. 2020 38 6 1330 1340 10.1109/JLT.2020.2970458 

  65. 65. Liao Q Qi N Li M Hu S He J Yin B Shi J Liu J Chiang PY Xiao X Wu N A 50-Gb/s PAM4 Si-photonic transmitter with digital-assisted distributed driver and integrated CDR in 40-nm CMOS IEEE J. Solid-State Circuits 2020 55 5 1282 1296 10.1109/JSSC.2020.2967560 

  66. 66. Fu, Q.: A 57.2-Gb/s PAM4 driver for a segmented silicon-photonics Mach-Zehnder modulator with extinction ratio >9-dB in 45-nm RF-SOI CMOS technology. In: Proceedings of IEEE International Symposium Circuits Systems (ISCAS). pp. 1–5 (2021) 

  67. 67. Li K Liu S Thomson DJ Zhang W Yan X Meng F Littlejohns CG Du H Banakar M Ebert M Cao W Tran D Chen B Shakoor A Petropoulos P Reed GT Electronic–photonic convergence for silicon photonics transmitters beyond 100 Gbps on–off keying Optica 2020 7 11 1514 1516 10.1364/OPTICA.411122 

  68. 68. Li, H.: A 112 Gb/s PAM4 transmitter with silicon photonics microring modulator and CMOS driver. In: Proceedings of 2019 Optical Fiber Communications Conference and Exhibition (OFC). pp. 1–3 (2019) 

  69. 69. Clark KA Cletheroe D Gerard T Haller I Jozwik K Shi K Thomsen B Williams H Zervas G Ballani H Bayvel P Costa P Liu Z Synchronous subnanosecond clock and data recovery for optically switched data centres using clock phase caching Nat. Electron. 2020 3 7 426 433 10.1038/s41928-020-0423-y 

  70. 70. Rakowski, M.: 45 nm CMOS - silicon photonics monolithic technology (45CLO) for next-generation, low power and high speed optical interconnects. In: Proceedings of Optical Fiber Communication Conference. pp.T3H.3 (2020) 

  71. 71. Rahim A Goyvaerts J Szelag B Fedeli JM Absil P Aalto T Harjanne M Littlejohns C Reed G Winzer G Lischke S Zimmermann L Knoll D Geuzebroek D Leinse A Geiselmann M Zervas M Jans H Stassen A Dominguez C Munoz P Domenech D Giesecke AL Lemme MC Baets R Open-access silicon photonics platforms in Europe IEEE J. Sel. Top. Quantum Electron. 2019 25 5 1 18 10.1109/JSTQE.2019.2915949 

  72. 72. 800G Pluggable MSA. Available at website of 800gmsa.com 

  73. 73. OIF. Available at website of oiforum.com 

  74. 74. Xu, B.: High power external pluggable laser bank with simultaneous single mode optical & electrical connection. In: Proceedings of OFC. pp.Th2A.4. (2020) 

  75. 75. Mao, Y.: Record-high power 1.55-μm distributed feedback laser diodes for optical communication. In: Proceedings of OFC. pp.W1B.7. (2021) 

  76. 76. Top 500. Available at website of top500.org 

  77. 77. Tomahawk4/BCM56990 Series. Available at website of broadcom.com/products/ethernet-connectivity/switching/strataxgs/bcm56990-series 

  78. 78. NVIDIA Quantum-2 InfiniBand Platform. Available at website of nvidia.com/en-us/networking/quantum2 

  79. 79. Verdiell, J.: Advances in onboard optical interconnects: a new generation of miniature optical engines. In: Proceedings of DesignCon 2013 (2013) 

  80. 80. Minkenberg C Krishnaswamy R Zilkie A Nelson D Co-packaged datacenter optics: opportunities and challenges IET Optoelectron. 2021 15 2 77 91 10.1049/ote2.12020 

  81. 81. Wade, M.: TeraPHY: a chiplet technology for low-power, high-bandwidth in-package Optical I/O. In: Proceedings of IEEE Hot Chips 31 Symposium (HCS). IEEE, pp.1–48 (2019) 

  82. 82. Sun C Wade MT Lee Y Orcutt JS Alloatti L Georgas MS Waterman AS Shainline JM Avizienis RR Lin S Moss BR Kumar R Pavanello F Atabaki AH Cook HM Ou AJ Leu JC Chen YH Asanović K Ram RJ Popović MA Stojanović VM Single-chip microprocessor that communicates directly using light Nature 2015 528 7583 534 538 10.1038/nature16454 26701054 

  83. 83. Atabaki AH Moazeni S Pavanello F Gevorgyan H Notaros J Alloatti L Wade MT Sun C Kruger SA Meng H Al Qubaisi K Wang I Zhang B Khilo A Baiocco CV Popović MA Stojanović VM Ram RJ Integrating photonics with silicon nanoelectronics for the next generation of systems on a chip Nature 2018 556 7701 349 354 10.1038/s41586-018-0028-z 29670262 

  84. 84. Benner, A.: High-bandwidth integrated optics for server applications. In: Future Directions in Packaging (FDIP) Workshop in conjunction with the IEEE International Conference on Electrical Performance of Electronic Packaging and Systems. IEEE, pp.1–3 (2010) 

  85. 85. Stone, R.: Co-packaged optics in the datacenter. In: Proceedings of OIF CPO Webinar (2020) 

  86. 86. Yousry, R.: A 1.7 pJ/b 112 Gb/s XSR transceiver for intra-package communication in 7 nm FinFET Technology. In: Proceedings of 2021 IEEE International Solid- State Circuits Conference (ISSCC). IEEE, pp.180–182 (2021) 

  87. 87. Shivnaraine, R.: A 26.5625-to-106.25 Gb/s XSR SerDes with 1.55 pJ/b efficiency in 7 nm CMOS. In: Proceedings of 2021 IEEE International Solid- State Circuits Conference (ISSCC). IEEE, pp.181–183 (2021) 

  88. 88. Poon C Zhang W Cho J Ma S Wang Y Cao Y Laraba A Ho E Lin W Wu D Tan K Upadhyaya P Frans Y A 1.24-pJ/b 112-Gb/s (870 Gb/s/Mm) transceiver for in-package links in 7-nm FinFET IEEE J. Solid-State Circuits 2022 57 4 1190 1210 10.1109/JSSC.2022.3141802 

  89. 89. McCollough, K., Huss, S.D., Vandersand, J., Smith, R., Moscone, C., Farooq, Q.O.: A 480 Gb/s/mm 1.7 pJ/b short-reach wireline transceiver using single-ended NRZ for Die-to-Die Applications. In: Proceedings of 2021 IEEE International Solid- State Circuits Conference (ISSCC). IEEE, pp.1–3 (2021) 

  90. 90. Guo, Z.: A 112.5 Gb/s ADC-DSP-based PAM-4 long-reach transceiver with >50 dB channel loss in 5 nm FinFET. In: Proceedings of 2022 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, pp.1–3 (2022) 

  91. 91. Ali, T., Chen, E., Park, H.: A 460 mW 112 Gb/s DSP-based transceiver with 38 dB loss compensation for next-generation data centers in 7 nm FinFET technology. In: Proceedings of 2020 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, pp.118–120 (2020) 

  92. 92. LaCroix, M.A., Chong, E., Shen, W.: A 116 Gb/s DSP-based wireline transceiver in 7 nm CMOS achieving 6 pJ/b at 45 dB loss in PAM-4/Duo-PAM-4 and 52 dB in PAM-2. In: Proceedings of 2021 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, pp.132–134 (2021) 

  93. 93. Mishra, P.: A 112 Gb/s ADC-DSP-based PAM-4 transceiver for long-reach applications with >40 dB channel loss in 7 nm FinFET. In: Proceedings of 2021 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, pp. 138–140 (2021) 

  94. 94. Im J Zheng K Chou CHA Zhou L Kim JW Chen S Wang Y Hung HW Tan K Lin W Roldan AB Carey D Chlis I Casey R Bekele A Cao Y Mahashin D Ahn H Zhang H Frans Y Chang K A 112 Gb/s PAM-4 long-reach wireline transceiver using a 36-way time-interleaved SAR-ADC and inverter-based RX analog front-end in 7 nm FinFET IEEE J. Solid-State Circuits 2021 56 1 7 18 10.1109/JSSC.2020.3024261 

  95. 95. Razavi B A study of injection locking and pulling in oscillators IEEE J. Solid-State Circuits 2004 39 9 1415 1424 10.1109/JSSC.2004.831608 

  96. 96. Razavi B The bridged T-coil [A circuit for all seasons] IEEE Solid-State Circuits Mag. 2015 7 4 9 13 10.1109/MSSC.2015.2474258 

  97. 97. Toprak-Deniz, Z.: A 128 Gb/s 1.3 pJ/b PAM-4 transmitter with reconfigurable 3-tap FFE in 14 nm CMOS. In: Proceedings of 2019 IEEE International Solid-State Circuits Conference (ISSCC). pp. 122–124 (2019) 

  98. 98. Kossel M Menolfi C Weiss J Buchmann P von Bueren G Rodoni L Morf T Toifl T Schmatz M A T-coil-enhanced 8.5 Gb/s high-swing SST transmitter in 65 nm bulk CMOS with -$16 dB return loss over 10 GHz bandwidth IEEE J. Solid-State Circuits 2008 43 12 2905 2920 10.1109/JSSC.2008.2006230 

  99. 99. Pisati, M., Bernardinis, F., Pascale, P., Nani, C., Sosio, M., Pozzati, E., Ghittori, N., Magni, F., Garampazzi, M., Bollati, G., Milani, A., Minuti, A., Giunco, F., Uggetti, P., Fabiano, I., Codega, N., Bosi, A., Carta, N., Pellicone, D., Spelgatti, G., Cutrupi, M., Rossini, A., Massolini, R., Cesura, G., Bietti, I.: A sub-250 mW 1-to-56 Gb/s continuous-range PAM-4 42.5 dB IL ADC/DAC-based transceiver in 7 nm FinFET. In: Proceedings of 2019 IEEE International Solid- State Circuits Conference (ISSCC). pp. 116–118 (2019) 

  100. 100. Kiran, S., Balankutty, A., Liu, Y., Dokania, R., Venkataraman, H., Wali, P., Kim, S., Krupnik, Y., Cohen, A., Mahony, F.: A 56 GHz receiver analog front end for 224 Gb/s PAM-4 SerDes in 10 nm CMOS. In: Proceedings of 2021 Symposium on VLSI Circuits. pp. 1–2(2021) 

  101. 101. Gangasani, G., Hanson, D., Storaska, D., Xu, H., Kelly, M., Shannon, M., Sorna, M., Wielgos, M., Ramakrishna, P., Shi, S., Parker, S., Shukla, U., Kelly, W., Su, W., Yu, Z.: A 1.6 Tb/s chiplet over XSR-MCM channels using 113 Gb/s PAM-4 transceiver with dynamic receiver-driven adaptation of TX-FFE and programmable roaming taps in 5 nm CMOS. In: Proceedings of IEEE International Solid- State Circuits Conference (ISSCC). IEEE, pp. 122–124 (2022) 

  102. 102. He, A., Gai, W., Sheng, K., Li, N.: An adaptive DFE using pattern-dependent data-level reference in 28 nm CMOS technology. In: Proceedings of IEEE 14th International Conference on ASIC (ASICON). IEEE, pp. 1–4 (2021) 

  103. 103. Shi, B., Zhao, Y., Ma, H., Nguyen, T., Li, E., Cangellaris, A., Schutt-Aine, J.: Decision feedback equalizer (DFE) taps estimation with machine learning methods. In: Proceedings of IEEE Electrical Design of Advanced Packaging and Systems (EDAPS). IEEE, pp.1–3 (2021) 

  104. 104. Baluchistan, I.: 800-Gbps PAM-4 O-band transmission through 2-km SMF using 4 λ LAN-WDM TOSA with MLSE based on nonlinear channel estimation and decision feedback. In: Proceedings of European Conference on Optical Communication (ECOC). pp. 1–4 (2021) 

  105. 105. Li, H., Xuan, Z., Kumar, R., Sakib, M., Sharma, J., Hsu, C., Ma, C., Rong, H., Balamurugan, G., Jaussi, J.: A 4×50 Gb/s all-silicon ring-based WDM transceiver with CMOS IC. In: Proceedings of European Conference on Optical Communication (ECOC). pp.1–3 (2021) 

  106. 106. Wade M Anderson E Ardalan S Bhargava P Buchbinder S Davenport M Fini J Lu H Li C Meade R Ramamurthy C Rust M Sedgwick F Stojanovic V Van Orden D Zhang C Sun C Shumarayev SY O’Keeffe C Hoang TT Kehlet D Mahajan RV Guzy MT Chan A Tran T TeraPHY: a chiplet technology for low-power, high-bandwidth in-package optical I/O IEEE Micro 2020 40 2 63 71 10.1109/MM.2020.2976067 

  107. 107. Wade, M., Anderson, E., Ardalan, S., Bae, W., Beheshtian, B., Buchbinder, S., Chang, K., Chao, P., Eachempatti, H., Frey, J.: An error-free 1 Tbps WDM optical I/O chiplet and multi-wavelength multi-port laser. In: Proceedings of Optical Fiber Communication Conference (OFC). OSA, pp.F3C.6 (2021) 

  108. 108. Binhao W Modeling of Photonic Devices and Photonic Integrated Circuits for Optical Interconnect and RF Photonic Front-End Applications 2016 Doctor of Philosophy Texas A&M University 

  109. 109. Stojanović, V.: High-density 3D electronic-photonic integration. In: Proceedings of 2015 Fourth Berkeley Symposium on Energy Efficient Electronic Systems (E3S). pp.1−2 (2015) 

  110. 110. Zhang, Y., Zhang, H., Li, M., Feng, P., Wang, L., Xiao, X., Yu, S.: 200 Gbit/s optical PAM4 modulation based on silicon microring modulator. In: Proceedings of European Conference on Optical Communications (ECOC). pp.1−4 (2020) 

  111. 111. Sakib, M., Liao, P., Kumar, R., Huang, D., Su, G., Ma, C., Rong, H.: A 112 Gb/s all-silicon micro-ring photodetector for datacom applications. In: Proceedings of Optical Fiber Communication Conference (OFC) (2020) 

  112. 112. Park H Sysak MN Chen HW Fang AW Liang D Liao L Koch BR Bovington J Tang Y Wong K Jacob-Mitos M Jones R Bowers JE Device and integration technology for silicon photonic transmitters IEEE J. Sel. Top. Quantum Electron. 2011 17 3 671 688 10.1109/JSTQE.2011.2106112 

  113. 113. Li H Balamurugan G Kim T Sakib M Kumar R Rong H Jaussi J Bryan Casper B A 3-D-integrated silicon photonic microring-based 112-Gb/s PAM-4 transmitter with nonlinear equalization and thermal control IEEE J. Solid-State Circuits 2020 56 1 19 29 10.1109/JSSC.2020.3022851 

  114. 114. Sharma, J.: Silicon photonic micro-ring modulator-based 4×112 Gb/s O-band WDM transmitter with ring photocurrent-based thermal control in 28 nm CMOS. In: Proceedings of 2021 Symposium on VLSI Circuits. pp.1−2 (2021) 

  115. 115. Agarwal S Ingels M Pantouvaki M Steyaert M Absil P Van Campenhout J Wavelength locking of a Si ring modulator using an integrated drop-port OMA monitoring circuit IEEE J. Solid-State Circuits 2016 51 10 2328 2344 10.1109/JSSC.2016.2592691 

  116. 116. Sun C Wade M Georgas M Lin S Alloatti L Moss B Kumar R Atabaki AH Pavanello F Shainline JM Orcutt JS Ram RJ Popovic M Stojanovic V A 45 nm CMOS-SOI monolithic photonics platform with bit-statistics-based resonant microring thermal tuning IEEE J. Solid-State Circuits 2016 51 4 893 907 10.1109/JSSC.2016.2519390 

  117. 117. Li H Hsu CM Sharma J Jaussi J Balamurugan G A 100-Gb/s PAM-4 optical receiver with 2-tap FFE and 2-tap direct-feedback DFE in 28-nm CMOS IEEE J. Solid-State Circuits 2021 57 1 44 53 10.1109/JSSC.2021.3110088 

  118. 118. Mehta N Sun C Wade M Stojanovic V A differential optical receiver with monolithic split-microring photodetector IEEE J. Solid-State Circuits 2019 54 8 2230 2242 10.1109/JSSC.2019.2917146 

  119. 119. Dziallas, G., Fatemi, A., Peczek, A., Zimmermann, L., Malignaggi, A., Kahmen, G.: A 56-Gb/s optical receiver with 2.08-μA noise monolithically integrated into a 250-nm SiGe BiCMOS technology. IEEE Trans. Microw. Theory Tech. 1(2021) 

  120. 120. Thonnart, Y., Zid, M., Gonzalez-Jimenez, J., Waltener, G., Polster, R., Dubray, O., Lepin, F., Bernabé, S., Menezo, S., Parès, G., Castany, O., Boutafa, L., Grosse, P., Charbonnier, B., Baudot, C.: A 10Gb/s Si-photonic transceiver with 150 μW 120 μs-lock-time digitally supervised analog microring wavelength stabilization for 1 Tb/s/mm 2 Die-to-Die Optical Networks. In: Proceedings of International Solid State Circuits Conference (ISSCC). IEEE, pp. 350−352 (2018) 

  121. 121. Wang, Z., Yu, Y., Xiao X., Li, M., Zou, X., Gao, D., Tan, M.: A time-division-multiplexing scheme for simultaneous wavelength locking of multiple silicon micro-rings. In: Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, pp.1−4 (2018) 

  122. 122. Kim MH Zimmermann L Choi WY A temperature controller IC for maximizing Si micro-ring modulator optical modulation amplitude J. Lightwave Technol. 2019 37 4 1200 1206 10.1109/JLT.2018.2889899 

  123. 123. Kim, M.: A fully integrated 25Gbs Si ring modulator transmitter with a temperature controller. In: Proceedings of Optical Fiber Communication Conference (OFC) (2020) 

  124. 124. Ming, D., Wang, Z., Wang, Y., Tan, M.: First Demonstration of closed-loop PWM wavelength locking of a microring resonator in a monolithic photonic-BiCMOS platform. In: Proceedings of 2020 IEEE International Conference on Integrated Circuits, Technologies and Applications (ICTA) (2020) 

  125. 125. Kim HK Si photonic-electronic monolithically integrated optical receiver with a built-in temperature-controlled wavelength filter Opt. Express 2021 29 6 9565 9573 10.1364/OE.418222 33820381 

  126. 126. Kim M Kim MH Jo Y Kim HK Lischke S Mai C Zimmermann L Choi WY Silicon electronic-photonic integrated 25 Gb/s ring modulator transmitter with a built-in temperature controller Photonics Research 2021 9 4 507 513 10.1364/PRJ.413407 

  127. 127. Shi W Xu Y Sepehrian H LaRochelle S Rusch LA Silicon photonic modulators for PAM transmissions J. Opt. 2018 20 8 083002 10.1088/2040-8986/aacd65 

  128. 128. Park AHK Shoman H Ma M Shekhar S Chrostowski L Ring resonator based polarization diversity WDM receiver Opt. Express 2019 27 5 6147 6157 30876207 

  129. 129. Bogaerts W Chrostowski L Silicon photonics circuit design: methods, tools and challenges Laser Photonics Rev. 2018 12 4 1700237 10.1002/lpor.201700237 

  130. 130. Grillanda, S.: Wavelength locking of a silicon microring resonator assisted by ContactLess Integrated Photonic Probe. In: Proceedings of 11th International Conference on Group IV Photonics (GFP). pp. 124–125 (2014) 

  131. 131. Li H Ding R Baehr-Jones T Fiorentino M Hochberg M Palermo S Chiang PY Xuan Z Titriku A Li C Yu K Wang B Shafik A Qi N Liu Y A 25 Gb/s, 4.4 V-swing, AC-coupled ring modulator-based WDM transmitter with wavelength stabilization in 65 nm CMOS IEEE J. Solid-State Circuits 2015 50 12 3145 3159 10.1109/JSSC.2015.2470524 

  132. 132. Bahadori M Gazman A Janosik N Rumley S Zhu Z Polster R Cheng Q Bergman K Thermal Rectification of integrated microheaters for microring resonators in silicon photonics platform J. Lightwave Technol. 2018 36 3 773 788 10.1109/JLT.2017.2781131 

  133. 133. Zheng X Luo Y Li G Shubin I Thacker H Yao J Raj K Cunningham J Krishnamoorthy A Enhanced optical bistability from self-heating due to free carrier absorption in substrate removed silicon ring modulators Opt. Express 2012 20 10 11478 11486 10.1364/OE.20.011478 22565767 

  134. 134. Ma M Shoman H Shekhar S Jaeger NAF Chrostowski L Automated adaptation and stabilization of a tunable WDM polarization-independent receiver on active silicon photonic platform IEEE Photonics J. 2020 12 4 1 11 10.1109/JPHOT.2020.3012097 

  135. 135. Gui, T., Wang, X., Tang, M., Yu, Y., Lu, Y., Li, L.: Real-time demonstration of 600 Gb/s DP-64QAM SelfHomodyne coherent bi-direction transmission with un-cooled DFB laser. In: Proceedings of Optical Fiber Communication Conference Postdeadline Papers. OSA, pp.Th4C.3 (2020) 

  136. 136. Moazeni S Lin S Wade M Alloatti L Ram RJ Popovic M Stojanovic V A 40-Gb/s PAM-4 transmitter based on a ring-resonator optical DAC in 45-nm SOI CMOS IEEE J. Solid-State Circuits 2017 52 12 3503 3516 10.1109/JSSC.2017.2748620 

  137. 137. Roshan-Zamir, A.: A two-segment optical DAC 40 Gb/s PAM4 silicon microring resonator modulator transmitter in 65nm CMOS. In: Proceedings of 2017 IEEE Optical Interconnects Conference (OI). IEEE, pp. 5−6 (2017) 

  138. 138. Sorace-Agaskar C Leu J Watts MR Stojanovic V Electro-optical co-simulation for integrated CMOS photonic circuits with Verilog-A Opt. Express 2015 23 21 27180 27203 10.1364/OE.23.027180 26480379 

  139. 139. Kim M Shin M Kim MH Yu BM Kim Y Ban Y Lischke S Mai C Zimmermann L Choi WY Large-signal SPICE model for depletion-type silicon ring modulators Photon. Res. 2019 7 9 948 10.1364/PRJ.7.000948 

  140. 140. Ye Y Spina D Xing Y Bogaerts W Dhaene T Numerical modeling of a linear photonic system for accurate and efficient time-domain simulations Photon. Res. 2018 6 6 560 10.1364/PRJ.6.000560 

  141. 141. Fathololoumi, S.: 1.6 Tbps silicon photonics integrated circuit for co-packaged optical-IO switch applications. In: Proceedings of Optical Fiber Communication Conference (OFC). pp. T3H.1. (2020) 

  142. 142. Liao Q Zhang Y Ma S Wang L Li L Li G Zhang Z Liu J Wu N Liu L Chen Y Xiao X Qi N A 50-Gb/s PAM-4 silicon-photonic transmitter incorporating lumped-segment MZM, distributed CMOS driver, and integrated CDR IEEE J. Solid State Circuits 2022 57 3 767 780 10.1109/JSSC.2021.3134874 

  143. 143. Li K Liu S Thomson D Zhang W Yan X Meng F Littlejohns C Du H Banakar M Ebert M Cao W Tran D Chen B Shakoor A Petropoulos P Reed G Electronic-photonic convergence for silicon photonics transmitters beyond 100 Gbit/s on-off keying Optica 2020 7 11 1514 1516 10.1364/OPTICA.411122 

  144. 144. Rito P Garcia Lopez I Petousi D Zimmermann L Kroh M Lischke S Knoll D Micusik D Awny A Ulusoy AC Kissinger D A monolithically integrated segmented linear driver and modulator in EPIC 025-μm SiGe: C BiCMOS platform IEEE Trans. Microw. Theory Tech. 2016 64 12 4561 4572 10.1109/TMTT.2016.2618392 

  145. 145. Petousi D Rito P Lischke S Knoll D Garcia-Lopez I Kroh M Barth R Mai C Ulusoy AC Peczek A Winzer G Voigt K Kissinger D Petermann K Zimmermann L Monolithically integrated high-extinction-ratio MZM with a segmented driver in photonic BiCMOS IEEE Photonics Technol. Lett. 2016 28 24 2866 2869 10.1109/LPT.2016.2624700 

  146. 146. Zhang H Li M Zhang Y Zhang D Liao Q He J Hu S Zhang B Wang L Xiao X Qi N Yu S 800 Gbit/s transmission over 1 km single-mode fiber using a four-channel silicon photonic transmitter Photon. Res. 2020 8 11 1776 10.1364/PRJ.396815 

  147. 147. Jacques M Xing Z Samani A El-Fiky E Li X Xiang M Lessard S Plant DV 240 Gbit/s silicon photonic Mach-Zehnder modulator enabled by two 2.3-Vpp drivers J. Lightwave Technol. 2020 38 11 2877 2885 

  148. 148. Analui B Guckenberger D Kucharski D Narasimha A A fully integrated 20-Gb/s optoelectronic transmitter implemented in a standard 0.13-μm CMOS SOI technology IEEE J. Solid-State Circuits 2006 41 12 2945 2955 10.1109/JSSC.2006.884388 

  149. 149. Zhu K Saxena V Wu X Kuang W Design considerations for traveling-wave modulator-based CMOS photonic transmitters IEEE Trans. Circuits Syst. Express Briefs 2015 62 4 412 416 10.1109/TCSII.2014.2387682 

  150. 150. Sepehrian H Yekani A Rusch LA Shi W CMOS-photonics codesign of an integrated DAC-less PAM-4 silicon photonic transmitter IEEE Trans. Circuits Syst. Regul. Pap. 2016 63 12 2158 2168 10.1109/TCSI.2016.2613514 

  151. 151. Bae, W., Jeong, G.S., Kim, Y., Chi, H.K., Jeong, D.K.: Design of silicon photonic interconnect ICs in 65-nm CMOS technology. IEEE Trans. Very Large Scale Integr. Syst. 24(6), 2234–2243 (2016) 

  152. 152. Xiong C Gill DM Proesel JE Orcutt JS Haensch W Green WMJ Monolithic 56 Gb/s silicon photonic pulse-amplitude modulation transmitter Optica 2016 3 10 1060 10.1364/OPTICA.3.001060 

  153. 153. Petousi D Zimmermann L Gajda A Kroh M Voigt K Winzer G Tillack B Petermann K Analysis of optical and electrical tradeoffs of traveling-wave depletion-type Si Mach-Zehnder modulators for high-speed operation IEEE J. Sel. Top. Quantum Electron. 2015 21 4 199 206 10.1109/JSTQE.2014.2369516 

  154. 154. Patel D Ghosh S Chagnon M Samani A Veerasubramanian V Osman M Plant DV Design, analysis, and transmission system performance of a 41 GHz silicon photonic modulator Opt. Express 2015 23 11 14263 14287 10.1364/OE.23.014263 26072793 

  155. 155. Rito P Garcia Lopez I Awny A Ko M Ulusoy AC Kissinger D A DC-90-GHz 4-Vpp modulator driver in a 0.13-μm SiGe: C BiCMOS process IEEE Trans. Microw. Theory Tech. 2017 65 12 1 11 10.1109/TMTT.2017.2757927 

  156. 156. Sarkas, I., Balteanu, A., Dacquay, E., Tomkins, A., Voinigescu, S.: A 45 nm SOI CMOS Class-D mm-wave PA with >10 Vpp differential swing. Dig. Tech. Pap. IEEE Int. Solid State Circuits Conf. 55, 88–90 (2012) 

  157. 157. Walling JS Shekhar S Allstot DJ Wideband CMOS amplifier design: time-domain considerations IEEE Trans. Circuits Syst. Regul. Pap. 2008 55 7 1781 1793 10.1109/TCSI.2008.926977 

  158. 158. Shekhar S Walling JS Allstot DJ Bandwidth extension techniques for CMOS amplifiers IEEE J. Solid-State Circuits 2006 41 11 2424 2439 10.1109/JSSC.2006.883336 

  159. 159. Analui B Hajimiri A Bandwidth enhancement for transimpedance amplifiers IEEE J. Solid-State Circuits 2004 39 8 1263 1270 10.1109/JSSC.2004.831783 

  160. 160. Suzuki, K., Tomita, Y., Yamaguchi, H., Cheung, T., Yamamoto, T., Tamura, H.: A 24-Gb/s source-series terminated driver with inductor peaking in 28-nm CMOS. In: Proceedings of IEEE Asian Solid-State Circuits Conference. IEEE, pp. 137–140 (2012) 

  161. 161. Kim J Buckwalter JF Bandwidth enhancement with low group-delay variation for a 40-Gb/s transimpedance amplifier IEEE Trans. Circuits Syst. Regul. Pap. 2010 57 8 1964 1972 10.1109/TCSI.2010.2041502 

  162. 162. Ahmed AH El Moznine A Lim D Ma Y Rylyakov A Shekhar S A dual-polarization silicon-photonic coherent transmitter supporting 552 Gb/s/wavelength IEEE J. Solid-State Circuits 2020 55 9 2597 2608 10.1109/JSSC.2020.2988399 

  163. 163. He, J., Zhang, Y., Liu, H., Liao, Q., Zhang, Z., Li, M., Jiang, F., Shi, J., Liu, J., Wu, N., Chen, Y., Chiang, P., Yu, N., Xiao, X., Qi, N.: A 56-Gb/s reconfigurable silicon-photonics transmitter using high-swing distributed driver and 2-tap in-segment feed-forward equalizer in 65-nm CMOS. In: Proceedings of IEEE Transactions on Circuits And Systems I-Regular Papers. IEEE, pp. 1–12 (2021) 

  164. 164. Wohlfeil, B., Eiselt, N., Rito, P., Dochhan, A., Mehrpoor, G., Rafique, D., Petousi, D., Lopez, I., Lischke, S., Kissinger, D., Zimmermann, L., Eiselt, M., Griesser, H., Elbers, J.: First demonstration of fully integrated segmented driver and MZM in 0.25-μm SiGe BiCMOS employing 112 Gb/s PAM4 over 60 km SSMF. In: Proceedings of European Conference on Optical Communication, ECOC. pp. 4–6 (2018) 

  165. 165. He, J., Qi, N., Yu, N., Wu, L., Loss, A.C.: A 2nd-order CTLE in 130 nm SiGe BiCMOS for a 50 GBaud PAM4 optical driver. In: Proceedings of ICTA. pp. 4–5 (2018) 

  166. 166. Li, L., Chen, Y., Zhu, E.: A 28 Gbaud/s 4 Vpp PAM4 MZ modulator driver in 0.13 μm SiGe BiCMOS technology. In: Proceedings of 5th International Conference on Integration Circuits Microsystems, ICICM. pp. 85–88 (2020) 

  167. 167. Fu, J., You, X., Luo, X., Zhong, L.: Energy-efficient differential to single- ended driver in 130-nm SiGe BiCMOS. In: Proceedings of IEEE International Conference on Integrated Circuits, Technologies and Applications (ICTA). IEEE, pp. 4–5 (2020) 

  168. 168. Qi, N., Li, X., Li, H., Xiao, X., Wang, L., Li, Z., Gao, Z., Yu, Y., Miki, M., Patrick, C.: A 25 Gb/s, 520 mW, 6.4 Vpp silicon-photonic Mach-Zehnder modulator with distributed driver in CMOS. In: Proceedings of Optical Fiber Communications Conference and Exhibition (OFC). pp. W1B.3 (2015) 

  169. 169. Chen, T.J., Su, H.M., Lee, T.H., Hsu, S.S.H.: A 64-Gb/s 4.2-Vpp modulator driver using stacked-FET distributed amplifier topology in 65-nm CMOS. In: Proceedings of IEEE MTT-S International Microwave Symposium (IMS). IEEE, pp. 730–733 (2019) 

  170. 170. Liao, Q., Hu, S., He, J., Yin, B., Chiang, P., Liu, J. Qi, N., Wu, N.: A dual-28 Gb/s digital-assisted distributed driver with CDR for optical-DAC PAM4 modulation in 40 nm CMOS. In: Proceedings of IEEE Radio Frequency Integrated Circuits Symposium. IEEE, pp. 219–222 (2019) 

  171. 171. Zhao Y Vera L Long JR A 10 Gb/s, 6 Vp-p, digitally controlled, differential distributed amplifier MZM driver IEEE J. Solid-State Circuits 2014 49 9 2030 2043 10.1109/JSSC.2014.2327036 

  172. 172. El-Aassar O Rebeiz GM A DC-to-108-GHz CMOS SOI distributed power amplifier and modulator driver leveraging multi-drive complementary stacked cells IEEE J. Solid-State Circuits 2019 54 12 1 15 10.1109/JSSC.2019.2941013 

  173. 173. Vera L Long JR A 40-Gb/s SiGe-BiCMOS MZM driver with 6-Vp-p output and on-chip digital calibration IEEE J. Solid-State Circuits 2017 52 2 460 471 10.1109/JSSC.2016.2606601 

  174. 174. Hosseinzadeh N Jain A Ning K Helkey R Buckwalter JF A linear microwave electro-optic front end with SiGe distributed amplifiers and segmented silicon photonic Mach-Zehnder modulator IEEE Trans. Microw. Theory Tech. 2019 1–13 4 

  175. 175. Kim J Buckwalter JF A 40-Gb/s optical transceiver front-end in 45 nm SOI CMOS IEEE J. Solid-State Circuits 2012 47 3 615 626 10.1109/JSSC.2011.2178723 

  176. 176. Hettrich H Moller M Design considerations for a 11.3 Gbit/s SiGe bipolar driver array with a 5× 6 V pp chip-to-chip bondwire output to an MZM PIC IEEE J. Solid-State Circuits 2016 51 9 2006 2014 10.1109/JSSC.2016.2569075 

  177. 177. Galal S Razavi B 10-Gb/s limiting amplifier and laser/modulator driver in 0.18-μm CMOS technology IEEE J. Solid-State Circuits 2003 38 12 2138 2146 10.1109/JSSC.2003.818567 

  178. 178. Zandieh A Schvan P Voinigescu SP Linear large-swing push-pull SiGe BiCMOS drivers for silicon photonics modulators IEEE Trans. Microw. Theory Tech. 2017 65 12 5355 5366 10.1109/TMTT.2017.2768028 

  179. 179. Li, K., Thomson, D., Liu, S., Meng, F., Shakoor, A., Khokhar, A., Cao, W., Zhang, W., Wilson, P., Reed, G.: Co-design of electronics and photonics components for silicon photonics transmitters. In: Proceedings of European Conference on Optical Communication, ECOC. pp. 2–4 (2018) 

  180. 180. Giuglea, A., Belfiore, G., Khafaji, M., Henker, R., Ellinger, F.: A 30 Gb/s high-swing, open-collector modulator driver in 250 nm SiGe BiCMOS. In: Proceedings of IEEE 61st International Midwest Symposium on Circuits and Systems. IEEE, pp. 5–8 (2018) 

  181. 181. Hwang J Jeong GS Bae W Park JE Yoon CS Yoon JM Joo J Kim G Jeong DK A 32 Gb/s, 201 mW, MZM/EAM Cascode push-pull CML driver in 65 nm CMOS IEEE Trans. Circuits Syst. Express Briefs 2018 65 4 436 440 10.1109/TCSII.2017.2699328 

  182. 182. Qi N Xiao X Hu S Li X Li H Liu L Li Z Wu N Chiang PY Co-design and demonstration of a 25-Gb/s silicon-photonic Mach-Zehnder modulator with a CMOS-based high-swing driver IEEE J. Sel. Top. Quantum Electron. 2016 22 6 131 140 10.1109/JSTQE.2016.2602102 

  183. 183. Li, K., Wilson, P.: An improved push-pull driver using 0.13 μm CMOS. In: Proceedings of IEEE International Symposium on Circuits and Systems. IEEE, pp. 1958–1961 (2013) 

  184. 184. Mandegaran S Hajimiri A A breakdown voltage multiplier for high voltage swing drivers IEEE J. Solid-State Circuits 2007 42 2 302 312 10.1109/JSSC.2006.889390 

  185. 185. Knochenhauer C Scheytt JC Ellinger F A compact, low-power 40-GBit/s modulator driver with 6-V differential output swing in 0.25-μm SiGe BiCMOS IEEE J. Solid-State Circuits 2011 46 5 1137 1146 10.1109/JSSC.2011.2111090 

  186. 186. Ahmed, A.H.: A 6 V swing 3.6% THD >40 GHz driver with 4.5× bandwidth extension for a 272 Gb/s dual-polarization 16-QAM silicon photonic transmitter. In: Proceedings of IEEE International Solid- State Circuits Conference (ISSCC). IEEE, pp. 484–486 (2019) 

  187. 187. Pornpromlikit S Jeong J Presti CD Scuderi A Asbeck PM A watt-level stacked-FET linear power amplifier in silicon-on-insulator CMOS IEEE Trans. Microw. Theory Tech. 2010 58 1 57 64 10.1109/TMTT.2009.2036323 

  188. 188. Dabag HT Hanafi B Golcuk F Agah A Buckwalter JF Asbeck PM Analysis and design of stacked-FET millimeter-wave power amplifier IEEE Trans. Microw. Theory Tech. 2013 61 4 1543 1556 10.1109/TMTT.2013.2247698 

  189. 189. Shopov S Voinigescu SP A 3×60 Gb/s transmitter/repeater front-end with 4.3VPP single-ended output swing in a 28 nm UTBB FD-SOI technology IEEE J. Solid-State Circuits 2016 51 7 1651 1662 10.1109/JSSC.2016.2545703 

  190. 190. Jayamon JA Buckwalter JF Asbeck PM Multigate-cell stacked FET design for millimeter-wave CMOS power amplifier IEEE J. Solid-State Circuits 2016 51 9 2027 2039 10.1109/JSSC.2016.2592686 

  191. 191. Nguyen DP Pham T Pham AV A 28-GHz symmetrical doherty power amplifier using stacked-FET cells IEEE Trans. Microw. Theory Tech. 2018 66 6 2628 2637 10.1109/TMTT.2018.2816024 

  192. 192. Kim K Lee K Shin G Lee S Son H Cho S Song HJ A 50-Gb/s compact RadCom E-band transmitter with phase-controlled push-push Quadrupler and stacked-FET power amplifier IEEE Solid-State Circuits Lett. 2021 4 150 153 10.1109/LSSC.2021.3108486 

  193. 193. Hofer B Amplifier Frequency and Transient Response (AFTR) Notes 1982 Portland, OR Tektronix Inc 

  194. 194. Lee T Planar Microwave Engineering 2004 Cambridge, U.K. Cambridge Univ. Press 

  195. 195. Bénéteau EM Cherry EM Hooper DE The design of wide-band transistor feedback amplifiers Proc. Inst. Electr. Eng. 1963 110 9 1617 10.1049/piee.1963.0227 

  196. 196. Ramon H Yin X Bauwelinck J Vanhoecke M Verbist J Soenen W De Heyn P Ban Y Pantouvaki M Van Campenhout J Ossieur P Low-power 56 Gb/s NRZ microring modulator driver in 28 nm FDSOI CMOS IEEE Photonics Technol. Lett. 2018 30 5 467 470 10.1109/LPT.2018.2799004 

  197. 197. Khafaji MM Henker R Ellinger F A 1-pJ/bit 80-Gb/s 2 15 –1 PRBS generator with a modified-cherry Hooper output driver IEEE J. Solid-State Circuits 2019 54 7 2059 2069 10.1109/JSSC.2019.2904172 

  198. 198. Zhu, K., Saxena, V., Kuang, W.: Compact Verilog-A modeling of silicon traveling-wave modulator for hybrid CMOS photonic circuit design. In: Proceedings of IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS). IEEE, pp. 615–618 (2014) 

  199. 199. Xing Z Samani A Xiang M El-Fiky E Hoang TM Patel D Li R Qiu M Saber MG Morsy-Osman M Plant DV 100 Gb/s PAM4 transmission system for datacenter interconnects using a SiP ME-MZM based DAC-less transmitter and a VSB self-coherent receiver Opt. Express 2018 26 18 23969 23979 10.1364/OE.26.023969 30184891 

  200. 200. Li GL Mason TGB Yu PKL Analysis of segmented traveling-wave optical modulators J. Lightwave Technol. 2004 22 7 1789 1796 10.1109/JLT.2004.831179 

  201. 201. Li, C., Yu, K., Rhim, J., Zhu, K., Qi, N., Fiorentino, M., Pinguet, T., Peterson, M., Saxena, V., Palermo, S.: A 3D-integrated 56 Gb/s NRZ/PAM4 reconfigurable segmented Mach-Zehnder modulator-based Si-photonics transmitter. In: Proceedings of IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium (BCICTS). IEEE, pp. 32–35 (2018) 

  202. 202. Kononov, E.: Modeling Photonic Links in Verilog-A. Massachusetts Institute of Technology (2013) 

  203. 203. Martin, P., Gays, F., Grellier, E., Myko, A., Menezo, S.: Modeling of silicon photonics devices with Verilog-A. In: Proceedings of 29th International Conference on Microelectronics Proceedings - MIEL. pp. 209–212 (2014) 

  204. 204. Shawon MJ Saxena V Rapid simulation of photonic integrated circuits using Verilog-A compact models IEEE Trans. Circuits Syst. Regul. Pap. 2020 67 10 3331 3341 10.1109/TCSI.2020.2983303 

  205. 205. Kim M Jo Y Lischke S Mai C Zimmermann L Choi WY A temperature-aware large-signal SPICE model for depletion-type silicon ring modulators IEEE Photonics Technol. Lett. 2021 33 17 947 950 10.1109/LPT.2021.3098760 

  206. 206. Rhim J Ban Y Yu BM Lee JM Choi WY Verilog-A behavioral model for resonance-modulated silicon micro-ring modulator Opt. Express 2015 23 7 8762 8772 10.1364/OE.23.008762 25968714 

  207. 207. Wang B Li C Chen CH Yu K Fiorentino M Beausoleil RG Palermo S A compact Verilog-A model of silicon carrier-injection ring modulators for optical interconnect transceiver circuit design J. Lightwave Technol. 2016 34 12 2996 3005 10.1109/JLT.2015.2505239 

  208. 208. Shin M Ban Y Yu BM Kim MH Rhim J Zimmermann L Choi WY A linear equivalent circuit model for depletion-type silicon microring modulators IEEE Trans. Electron Dev. 2017 64 3 1140 1145 10.1109/TED.2017.2648861 

  209. 209. Kim, M., Shin, M., Kim, M., Yu, B., Mai, C., Lischke, S., Zimmermann, L., Choi, W.: A large-signal equivalent circuit for depletion-type silicon ring modulators. In: Proceedings of Optical Fiber Communications Conference and Exposition (OFC). IEEE, pp. 1–3 (2018) 

  210. 210. Ye Y Spina D Bogaerts W Dhaene T Baseband macromodeling of linear photonic circuits for time-domain simulations J. Lightwave Technol. 2019 37 4 1364 1373 10.1109/JLT.2019.2893545 

  211. 211. Lin S Electronic-Photonic Co-Design of Silicon Photonic Interconnects 2017 Doctor of Philosophy University of California at Berkeley 

  212. 212. Lin S Moazeni S Settaluri KT Stojanović V Electronic–photonic co-optimization of high-speed silicon photonic transmitters J. Lightwave Technol. 2017 35 21 4766 4780 10.1109/JLT.2017.2757945 

  213. 213. Preite MV Sorianello V De Angelis G Romagnoli M Velha P Geometrical representation of a polarisation management component on a SOI platform Micromachines (Basel) 2019 10 6 E364 10.3390/mi10060364 

  214. 214. Annoni A Guglielmi E Carminati M Ferrari G Sampietro M Miller D Melloni A Morichetti F Unscrambling light—automatically undoing strong mixing between modes Light Sci. Appl. 2017 6 12 17110 10.1038/lsa.2017.110 

  215. 215. de Cea M Atabaki AH Ram RJ Power handling of silicon microring modulators Opt. Express 2019 27 17 24274 24285 10.1364/OE.27.024274 31510319 

  216. 216. Leu, J.: Integrated Silicon Photonic Circuit Simulation. Doctor of Philosophy, Massachusetts Institute of Technology (2018) 

  217. 217. Wang, Z., Xu, J., Yang, P., Wang, X., Wang, Z., Duong, L.H.K., Wang, Z., Maeda, R.K.V., Li, H.: Improve chip pin performance using optical interconnects. IEEE Trans. Very Large Scale Integration Syst. 24, 1574–1587 (2016) 

  218. 218. Goodman J Leonberger F Kung SY Athale R Optical interconnections for VLSI systems Proc. IEEE 1984 72 7 850 866 10.1109/PROC.1984.12943 

  219. 219. Demir, Y., Pan, Y., Song, S., Hardavellas, N., Kim, J., Memik, G.: Galaxy: a high-performance energy-efficient multi-chip architecture using photonic interconnects. In: Proceedings of the 28th ACM international conference on Supercomputing - ICS’14, (Munich, Germany). ACM, pp. 303–312 (2014) 

  220. 220. Vantrease, D., Schreiber, R., Monchiero, M., McLaren, M., Jouppi, N.P., Fiorentino, M., Davis, A., Binkert, N., Beausoleil, R.G., Ahn, J.H.: Corona: system implications of emerging nanophotonic technology. In: Proceedings of 2008 International Symposium on Computer Architecture. pp. 153–164 (2008) 

  221. 221. Wang, Z., Wang, Z., Xu, J., Chang, Y.S., Feng, J., Chen, X., Chen, S., Zhang, J.: CAMON: low-cost silicon photonic chiplet for manycore processors. IEEE Trans. Comput. Aided Des. Integrated Circ. Syst. 39(9), 1820–1833 (2020) 

  222. 222. Yang, P., Wang, Z., Wang, Z., Xu, J., Chang, Y.S., Chen, X., Maeda, R.K.V., Feng, J.: Multidomain inter/intrachip silicon photonic networks for energy efficient rack-scale computing systems. IEEE Trans. Comput. Aided Des. Integrated Circ. Syst. 39(3), 626–639 (2020) 

  223. 223. Zhang, J., Liu, Y., Feng, J., Chen, S., Wu, T., Dong, X., Xu, J.: UONN: energy-efficient optical neural network. In: Proceedings of 2021 Asia Communications and Photonics Conference (ACP). pp. 1–3 (2021) 

  224. 224. Duong, L.H.K., Wang, Z., Nikdast, M., Xu, J., Yang, P., Wang, Z., Wang, Z., Maeda, R.K.V., Li, H., Wang, X., Le Beux, S., Thonnart, Y.: Coherent and incoherent crosstalk noise analyses in interchip/intrachip optical interconnection networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24, 2475–2487 (2016) 

  225. 225. Wu X Xu J Ye Y Wang Z Nikdast M Wang X SUOR: sectioned undirectional optical ring for chip multiprocessor ACM J. Emerg. Technol. Comput. Syst. 2014 10 4 1 25 10.1145/2600072 

  226. 226. Zhou, L., Kodi, A.K.: PROBE: prediction-based optical bandwidth scaling for energy-efficient NoCs. In: Proceedings of 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS). IEEE, pp. 1–8 (2013) 

  227. 227. Ort’ın-Ob’on, M., Tala, M., Ramini, L., Vi˜nals-Yufera, V., Bertozzi, D.: Contrasting laser power requirements of wavelength-routed optical NoC topologies subject to the floorplanning, placement, and routing constraints of a 3D-stacked system. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25, 2081–2094(2017) 

  228. 228. Chen, C., Zhang, T., Contu, P., Klamkin, J., Coskun, A.K., Joshi, A.: Sharing and placement of on-chip laser sources in silicon photonic NoCs. In: Proceedings of 2014 8th IEEE/ACM International Symposium on Networks-onChip (NoCS). IEEE, pp. 88–95 (2014) 

  229. 229. Chen C Joshi A Runtime management of laser power in silicon photonic multibus NoC architecture IEEE J. Sel. Top. Quantum Electron. 2013 19 2 3700713 10.1109/JSTQE.2012.2228170 

  230. 230. Lee JH Bovington J Shubin I Luo Y Yao J Lin S Cunningham JE Raj K Krishnamoorthy AV Zheng X Demonstration of 12.2% wall plug efficiency in uncooled single mode external-cavity tunable Si/III-V hybrid laser Opt. Express 2015 23 9 12079 12088 10.1364/OE.23.012079 25969296 

  231. 231. Spuesens T Pathak S Vanslembrouck M Dumon P Bogaerts W Grating couplers with an integrated power splitter for high-intensity optical power distribution IEEE Photonics Technol. Lett. 2016 28 11 1173 1176 10.1109/LPT.2016.2533666 

  232. 232. Liang TK Tsang HK Nonlinear absorption and Raman scattering in silicon-on-insulator optical waveguides IEEE J. Sel. Top. Quantum Electron. 2004 10 5 1149 1153 10.1109/JSTQE.2004.835290 

  233. 233. Ramini, L., Grani, P., Bartolini, S., Bertozzi, D.: Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis. In: Proceedings of Design, Automation Test in Europe Conference Exhibition (DATE). IEEE, pp. 1589–1594 (2013) 

  234. 234. Duong, L.H.K., Yang, P., Wang, Z., Chang, Y.S., Xu, J., Wang, Z., Chen, X.: Crosstalk noise reduction through adaptive power control in inter/intra-chip optical networks. IEEE Trans. Comput. Aided Des. Integrated Circ. Syst. 38(1), 43–56 (2019) 

  235. 235. Kennedy M Kodi AK Laser pooling: static and dynamic laser power allocation for on-chip optical interconnects J. Lightwave Technol. 2017 35 15 3159 3167 10.1109/JLT.2017.2681960 

  236. 236. Shang C Hughes E Wan Y Dumont M Koscica R Selvidge J Herrick R Gossard AC Mukherjee K Bowers JE High-temperature reliable quantumdot lasers on Si with misfit and threading dislocation filters Optica 2021 8 5 749 10.1364/OPTICA.423360 

  237. 237. Jones R Rong H Liu A Fang A Paniccia M Hak D Cohen O Net continuous wave optical gain in a low loss silicon-on-insulator waveguide by stimulated Raman scattering Opt. Express 2005 13 2 519 525 10.1364/OPEX.13.000519 19488380 

  238. 238. Dumais, P., Goodwill, D.J., Celo, D., Jiang, J., Bernier, E.: Three-mode synthesis of slab Gaussian beam in ultra-low-loss in-plane nanophotonic silicon waveguide crossing. In: Proceedings of 2017 IEEE 14th International Conference on Group IV Photonics (GFP). IEEE, pp. 97–98 (2017) 

  239. 239. Luo J Killian C Beux SL Chillet D Sentieys O O’connor I Offline optimization of wavelength allocation and laser power in nanophotonic interconnects J. Emerg. Technol. Comput. Syst. 2018 14 24 1 24 10.1145/3178453 

  240. 240. Medina E Dagan E Habana Labs purpose-built AI inference and training processor architectures: scaling AI training systems using standard ethernet with Gaudi processor IEEE Micro 2020 40 2 17 24 10.1109/MM.2020.2975185 

  241. 241. Optical I/O Core PAA-XW80001-ESA (Engineering Sample). Available at website of aiocore.com 

  242. 242. Wang B Sorin WV Rosenberg P Kiyama L Mathai S Tan MRT 4×112 Gbps/fiber CWDM VCSEL arrays for co-packaged interconnects J. Lightwave Technol. 2020 38 13 3439 3444 10.1109/JLT.2020.2980986 

  243. 243. Hao Q Hao K Xue H Han M Qi N Zhang K Niu X Xiao L Fan D A chip-level optical interconnect for CPU IEEE Photonics Technol. Lett. 2021 33 16 852 855 10.1109/LPT.2021.3084945 

  244. 244. LightCounting: LightCounting ethernet optics report. (2020) 

  245. 245. He H Xue H Sun Y Liu F Cao L Design and realization of multi-channel and high-bandwidth 25D transmitter integrated with silicon photonic MZM J. Lightwave Technol. 2022 40 15 5201 5215 10.1109/JLT.2022.3175518 

  246. 246. Ranovus Announces Second-Generation “Co-Packaged Optics” Chip for Hyperscale Data Center Applications. Available at website of ranovus.com/ranovus-announces-second-generation-co-packaged-optics-chip-for-hyperscale-data-center-applications 

관련 콘텐츠

오픈액세스(OA) 유형

GOLD

오픈액세스 학술지에 출판된 논문

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로