$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Multi-chamber integrated process system 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/00
출원번호 US-0371700 (1989-06-23)
발명자 / 주소
  • Maydan Dan (Los Altos Hills CA) Somekh Sasson (Redwood City CA) Wang David N. (Cupertino CA) Cheng David (San Jose CA) Toshima Masato (San Jose CA) Harari Isaac (Mountain View CA) Hoppe Peter D. (Sun
출원인 / 주소
  • Applied Materials, Inc. (Santa Clara CA 02)
인용정보 피인용 횟수 : 784  인용 특허 : 5

초록

An integrated modular multiple chamber vacuum processing system is disclosed. The system includes a load lock, may include an external cassette elevator, and an internal load lock wafer elevator, and also includes stations about the periphery of the load lock for connecting one, two or several vacuu

대표청구항

An integrated vacuum processing system for workpieces such as semiconductor wafers, comprising: a vacuum load lock chamber, having a closable entrance; at least one vacuum processing chamber mounted to said load lock chamber and communicating therewith via openings in the adjacent chambers; each of

이 특허에 인용된 특허 (5)

  1. Tateishi Hideki (Yokohama JPX) Kamei Tsuneaki (Kanagawa JPX) Abe Katsuo (Yokosuka JPX) Kobayashi Shigeru (Kawasaki JPX) Aiuchi Susumu (Yokohama JPX) Nakatsukasa Masashi (Tama JPX) Takahashi Nobuyuki , Apparatus for performing continuous treatment in vacuum.
  2. Gallego JosM. (Ormskirk GB2), Apparatus for the deposition of multi-layer coatings.
  3. Jacoby Hans-Dieter (Werdorf DEX) Schmidt Peter (Huettenberg DEX), Device for automatically transporting disk shaped objects.
  4. Maher Joseph A. (South Hamilton MA) Vowles E. John (Goffstown NH) Napoli Joseph D. (Winham NH) Zafiropoulo Arthur W. (Manchester MA) Miller Mark W. (Burlington MA), Quad processor.
  5. Purser, Kenneth H., Wafer holding apparatus for ion implantation.

이 특허를 인용한 특허 (784)

  1. Chao, Ying-Chen; Lee, Wi William; Yang, Sen-Shan; Liao, Keng-Hui, Accelerated thermal stress cycle test.
  2. Woodruff, Daniel J.; Hanson, Kyle M.; Eudy, Steve L.; Weber, Curtis A.; Harris, Randy, Adaptable electrochemical processing chamber.
  3. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  4. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  5. Rajagopalan,Nagarajan; Shek,Meiyee; Lee,Albert; Lakshmanan,Annamalai; Xia,Li Qun; Cui,Zhenjiang, Adhesion improvement for low k dielectrics to conductive materials.
  6. Jack Oon Chu ; Khalid Ezzeldin Ismail, Advance integrated chemical vapor deposition (AICVD) for semiconductor.
  7. Chu Jack Oon ; Ismail Khalid Ezzeldin, Advance integrated chemical vapor deposition (AICVD) for semiconductor devices.
  8. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  9. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  10. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  11. Kurata, Shunsuke, Alignment apparatus.
  12. Satitpunwaycha Peter ; Yao Gongda ; Ngan Kenny King-Tai ; Xu Zheng, Aluminum hole filling method using ionized metal adhesion layer.
  13. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  14. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  15. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  16. Joseph Yudovsky ; Kenneth Tsai ; Ilya Perlov ; Eugene Gantvarg, Apparatus and method for aligning a substrate on a support member.
  17. Muka Richard S., Apparatus and method for batch thermal conditioning of substrates.
  18. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  19. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  20. Chen, Chen-An; Gelatos, Avgerinos; Yang, Michael X.; Xi, Ming; Hytros, Mark M., Apparatus and method for plasma assisted deposition.
  21. Chen,Chen An; Gelatos,Avgerinos; Yang,Michael X.; Xi,Ming; Hytros,Mark M., Apparatus and method for plasma assisted deposition.
  22. Cook Robert C., Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor.
  23. Ihantola Heikki,FIX, Apparatus and method for processing of semiconductors, such as silicon chips.
  24. Kim, Sam H.; Hosokawa, Akihiro; Suh, Dong Choon, Apparatus and method for uniform substrate heating and contaminate collection.
  25. Hirao Takashi,JPX ; Yoshida Akihisa,JPX ; Kitagawa Masatoshi,JPX, Apparatus and method of manufacturing semiconductor element.
  26. Yokomizo,Kenji, Apparatus and method of securing a workpiece during high-pressure processing.
  27. Hanson,Kyle M.; Ritzdorf,Thomas L.; Wilson,Gregory J.; McHugh,Paul R., Apparatus and methods for electrochemical processing of microelectronic workpieces.
  28. Hanson,Kyle M.; Ritzdorf,Thomas L.; Wilson,Gregory J.; McHugh,Paul R., Apparatus and methods for electrochemical processing of microelectronic workpieces.
  29. Bluck, Terry; Fairbairn, Kevin P.; Barnes, Michael S.; Lane, Christopher T., Apparatus and methods for transporting and processing substrates.
  30. Bluck, Terry; Fairbairn, Kevin P.; Barnes, Michael S.; Lane, Christopher T., Apparatus and methods for transporting and processing substrates.
  31. Bluck, Terry; Fairbairn, Kevin P.; Barnes, Michael S.; Lane, Christopher T., Apparatus and methods for transporting and processing substrates.
  32. Schauer, Ronald Vern; Lappen, Alan Rick, Apparatus for alignment of automated workpiece handling systems.
  33. Thu Van Nguyen, Apparatus for automatically performing cleaning processes in a semiconductor wafer processing system.
  34. Xu Zheng ; Forster John ; Yao Tse-Yong, Apparatus for filling apertures in a film layer on a semiconductor substrate.
  35. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Apparatus for integration of barrier layer and seed layer.
  36. Frey Jeffrey, Apparatus for making a semiconductor device in a continuous manner.
  37. Perlov Ilya ; Gantvarg Eugene, Apparatus for moving a cassette.
  38. Khan, Anisul; Kumar, Ajay; Chinn, Jeffrey D.; Podlesnik, Dragan, Apparatus for performing self cleaning method of forming deep trenches in silicon substrates.
  39. Yamazaki,Shunpei; Takenouchi,Akira; Takemura,Yasuhiko, Apparatus for processing a semiconductor.
  40. Hayashi Tetsuya,JPX ; Okuyama Kazunori,JPX ; Inomata Tsuyoshi,JPX ; Nozaki Koji,JPX ; Hirose Minoru,JPX, Apparatus for producing semiconductor device.
  41. Nulman,Jaim; Sidi,Nissim, Apparatus for storing and moving a cassette.
  42. Perlov Ilya ; Gantvarg Evgueni ; Belitsky Victor, Apparatus for storing and moving a cassette.
  43. Perlov, Ilya; Gantvarg, Evgueni; Belitsky, Victor, Apparatus for storing and moving a cassette.
  44. Zhao Jun ; Sinha Ashok ; Tepman Avi ; Chang Mei ; Luo Lee ; Schreiber Alex ; Sajoto Talex ; Wolff Stefan ; Dornfest Charles ; Danek Michal, Apparatus for substrate processing with improved throughput and yield.
  45. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Apparatus for supercritical processing of a workpiece.
  46. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Apparatus for supercritical processing of multiple workpieces.
  47. Kuiper, Vincent Sylvester; Slot, Erwin; Van Kervinck, Marcel Nicolaas Jacobus; De Boer, Guido; De Jong, Hendrik Jan, Apparatus for transferring a substrate in a lithography system.
  48. Lee Jong-Hyun,KRX ; Yoo Hyung-Joun,KRX ; Choi Boo-Yeon,KRX ; Jang Won-Ick,KRX ; Jang Ki-Ho,KRX, Apparatus for transferring a wafer.
  49. Takeshi Kubota JP; Norikazu Komatsu JP, Apparatus used for fabricating liquid crystal device and method of fabricating the same.
  50. Eastman Richard H. (Needham MA) Davis ; Jr. James C. (Carlisle MA), Articulated arm transfer device.
  51. Hofmeister Christopher, Articulated arm transfer device.
  52. Chin,Barry L.; Mak,Alfred W.; Lei,Lawrence Chung Lai; Xi,Ming; Chung,Hua; Lai,Ken Kaung; Byun,Jeong Soo, Atomic layer deposition apparatus.
  53. Chung, Hua; Wang, Rongjun; Maity, Nirmalya, Atomic layer deposition of barrier materials.
  54. Sandhu, Gurtej; Doan, Trung T., Atomic layer doping apparatus and method.
  55. Sandhu, Gurtej; Doan, Trung T., Atomic layer doping apparatus and method.
  56. Beer Emanuel ; White John M., Automated substrate processing system.
  57. Beer, Emanuel; White, John M., Automated substrate processing system.
  58. Shri Singhvi ; Suraj Rengarajan ; Peijun Ding ; Gongda Yao, Barrier applications for aluminum planarization.
  59. Singhvi Shri ; Rengarajan Suraj ; Ding Peijun ; Yao Gongda, Barrier applications for aluminum planarization.
  60. Chen, Ling; Marcadal, Christophe, Barrier layer structure for copper metallization and method of forming the structure.
  61. Hofmeister Christopher A. ; Kiley Christopher C., Batch loader arm.
  62. Levy Jeff,ILX, Batch process for forming metal plugs in a dielectric layer of a semiconductor wafer.
  63. Levy Jeff,ILX, Batch process for forming metal plugs in a dielectric layer of a semiconductor wafer.
  64. Muka Richard S. (Topsfield MA) Pippins Michael W. (Hamilton MA) Drew Mitchell A. (Portsmouth NH), Batchloader for substrate carrier on load lock.
  65. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  66. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  67. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  68. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  69. Reynolds Glyn J. ; Hillman Joseph T., Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system.
  70. Rice,Michael R.; Englhardt,Eric A.; Lowrance,Robert B.; Elliott,Martin R.; Hudgens,Jeffrey C.; Van Katwyk,Kirk; Puri,Amit, Calibration of high speed loader to substrate transport system.
  71. Perlov Ilya ; Goder Alexey ; Gantvarg Eugene, Carousel wafer transfer system.
  72. Perlov Ilya ; Goder Alexey ; Gantvarg Eugene, Carousel wafer transfer system.
  73. Sajota Talex, Chamber component removal system, apparatus and method.
  74. Inagawa,Makoto; Hosokawa,Akihiro, Chamber for uniform substrate heating.
  75. Shang, Quanyuan; Kardokus, Janine; Hosokawa, Akihiro, Chamber for uniform substrate heating.
  76. Shang,Quanyuan; Kardokus,Janine; Hosokawa,Akihiro, Chamber for uniform substrate heating.
  77. Jonathan Frankel ; Visweswaren Sivaramakrishnan, Chamber liner for high temperature processing chamber.
  78. Lubomirsky, Dmitry, Chamber with flow-through source.
  79. Lubomirsky, Dmitry, Chamber with flow-through source.
  80. Schertler Roman (Wolfurt ATX), Chamber, at least for the transport of workpieces, a chamber combination, a vacuum treatment facility as well as a trans.
  81. Schertler Roman,ATX, Chamber, at least for the transport of workpieces, a chamber combination, a vacuum treatment facility as well as a trans.
  82. Roman Schertler AT, Chamber, at least for the transport of workpieces, a chamber combination, a vacuum treatment facility as well as a transport method.
  83. Schertler Roman,ATX, Chamber, at least for the transport of workpieces, a chamber combination, a vacuum treatment facility as well as a transport method.
  84. Hanson, Kyle M.; Klocke, John L., Chambers, systems, and methods for electrochemically processing microfeature workpieces.
  85. Klocke,John; Hanson,Kyle M, Chambers, systems, and methods for electrochemically processing microfeature workpieces.
  86. Klocke,John; Hanson,Kyle M, Chambers, systems, and methods for electrochemically processing microfeature workpieces.
  87. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  88. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  89. Lei Lawrence Chung-Lai ; Perlov Ilya ; Littau Karl Anthony ; Morrison Alan Ferris ; Chang Mei ; Sinha Ashok K., Chemical vapor deposition chamber.
  90. Lang Chi-I ; Ma Yeming Jim ; Chang Fong ; Lee Peter Wai-Man ; Jeng Shin-Puu,TWX ; Cheung David, Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer.
  91. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  92. Henley Francois J. ; Cheung Nathan, Cluster tool apparatus using plasma immersion ion implantation.
  93. Parodi Michael L. (Alamo CA) Biche Michael R. (Union City CA) Anderson H. Alexander (Santa Cruz CA) Lurye Alexander (Fremont CA), Clustered photolithography system.
  94. Kiley Christopher, Coaxial drive loader arm.
  95. Lu, Jiang; Ha, Hyoung-Chan; Ma, Paul F.; Ganguli, Seshadri; Aubuchon, Joseph F.; Yu, Sang-ho; Narasimhan, Murali K., Cobalt deposition on barrier surfaces.
  96. Lu, Jiang; Ha, Hyoung-Chan; Ma, Paul; Ganguli, Seshadri; Aubuchon, Joseph F.; Yu, Sang Ho; Narasimhan, Murali K., Cobalt deposition on barrier surfaces.
  97. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  98. Konishi, Yoshiyuki; Ueda, Masahiro; Suzuki, Masayasu, Composite coating device and method of forming overcoat on magnetic head using the same.
  99. Joanna Liu ; Zheng Xu, Computer system to control multiple step ionized metal plasma deposition process for conformal step coverage.
  100. Rinnen Klaus-Dieter ; Czarnik Cory, Computer-implemented inter-chamber synchronization in a multiple chamber substrate processing system.
  101. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  102. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  103. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  104. Tepman Avi, Consecutive deposition system.
  105. Lu, Xinliang; Kao, Chien Teh; Lai, Chiukin Steve; Chang, Mei, Contact clean by remote plasma and repair of silicide surface.
  106. Lu, Xinliang; Kao, Chien-Teh; Lai, Chiukin Steve; Chang, Mei, Contact clean by remote plasma and repair of silicide surface.
  107. Lu, Xinliang; Kao, Chien-Teh; Lai, Chiukin Steve; Chang, Mei, Contact clean by remote plasma and repair of silicide surface.
  108. Jones,William Dale, Control of fluid flow in the processing of an object with a fluid.
  109. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Conveying system for a vacuum processing apparatus.
  110. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  111. Lee,Jae Chull; Berkstresser,David, Curved slit valve door with flexible coupling.
  112. Yang, Michael X.; Xi, Ming, Cyclical deposition of a variable content titanium silicon nitride layer.
  113. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  114. Wang,Shulin; Kroemer,Ulrich; Luo,Lee; Chen,Aihua; Li,Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  115. Lee, Jae-Chull; Kurita, Shinichi; White, John M.; Anwar, Suhail, Decoupled chamber body.
  116. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  117. Beinglass Israel (Sunnyvale CA) Venkatesan Mahalingam (San Jose CA) Gronet Christian M. (San Carlos CA), Depositing polysilicon films having improved uniformity and apparatus therefor.
  118. Israel Beinglass ; Mahalingam Venkatesan ; Christian M. Gronet, Depositing polysilicon films having improved uniformity and apparatus therefor.
  119. Yoon, Ki Hwan; Cha, Yonghwa Chris; Yu, Sang Ho; Ahmad, Hafiz Farooq; Wee, Ho Sun, Deposition methods for barrier and tungsten materials.
  120. Yoon,Ki Hwan; Cha,Yonghwa Chris; Yu,Sang Ho; Ahmad,Hafiz Farooq; Wee,Ho Sun, Deposition methods for barrier and tungsten materials.
  121. Law, Kam; Shang, Quanyuan; Harshbarger, William Reid; Maydan, Dan, Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications.
  122. Law,Kam; Shang,Quanyuan; Harshbarger,William Reid; Maydan,Dan, Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications.
  123. Beinglass Israel ; Venkatesan Mahalingam, Deposition of silicon nitride thin films.
  124. Yoon, Hyungsuk A.; Fang, Hongbin; Yang, Michael X., Deposition of tungsten films.
  125. Wyka Gary ; Carrera Jaime ; Hoskins Van, Detection system for substrate clamp.
  126. Wyka Gary ; Carrera Jaime ; Hoskins Van, Detection system for substrate clamp.
  127. Park In-Ok,KRX ; Park Tae-Youn,KRX, Device isolation technology.
  128. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  129. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  130. Muka Richard S. (Topsfield MA), Door drive mechanisms for substrate carrier and load lock.
  131. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  132. Kurita, Shinichi; Blonigan, Wendell T., Double dual slot load lock chamber.
  133. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  134. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  135. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  136. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  137. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  138. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  139. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  140. Dennis L. Goodwin ; Eric R. Wood ; Ivo Raaijmakers, Dual arm linear hand-off wafer transfer assembly.
  141. Goodwin Dennis L. ; Wood Eric R. ; Raaijmakers Ivo, Dual arm linear hand-off wafer transfer assembly.
  142. Avi Tepman ; Donald J. K. Olgado ; Allen L. D'Ambra, Dual buffer chamber cluster tool for semiconductor wafer processing.
  143. Masato M. Toshima ; Phil M. Salzman ; Steven C. Murdoch ; Cheng Wang ; Mark A. Stenholm ; James Howard ; Leonard Hall ; David Cheng, Dual cassette load lock.
  144. Toshima Masato M. ; Salzman Phil M. ; Murdoch Steven C. ; Wang Cheng ; Stenholm Mark A. ; Howard James ; Hall Leonard ; Cheng David, Dual cassette load lock.
  145. Stevens Craig L. (Felton CA), Dual coaxial magnetic couplers for vacuum chamber robot assembly.
  146. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  147. Lei, Lawrence C.; Kori, Moris, Dual robot processing system.
  148. Genov ; deceased Genco ; Todorov Alexander ; Kostov Lubo ; Petkov Peter ; Totev Valentin ; Bonev Eugene ; Sotirov Zlatko, Dual robotic arm end effectors having independent yaw motion.
  149. Kurita, Shinichi; Blonigan, Wendell T.; Hosokawa, Akihiro, Dual substrate loadlock process equipment.
  150. Kurita, Shinichi; Blonigan, Wendell T.; Hosokawa, Akihiro, Dual substrate loadlock process equipment.
  151. Kraus, Joseph Arthur; Strassner, James David, Dual wafer load lock.
  152. Yamazaki,Shunpei; Mase,Akira; Hiroki,Masaaki, Electro-optical device and driving method for the same.
  153. Woodruff,Daniel J.; Hanson,Kyle M., Electroplating apparatus with segmented anode array.
  154. Woodruff,Daniel J.; Hanson,Kyle M., Electroplating apparatus with segmented anode array.
  155. Marohl Dan A. ; Ngan Kenny King-Tai, End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector.
  156. Marohl Dan A. ; Ngan Kenny King-Tai, End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector.
  157. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  158. Chen,Ling; Chang,Mei, Enhancement of copper line reliability using thin ALD tan film to cap the copper line.
  159. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  160. Yamazaki, Shunpei; Murakami, Masakazu, Evaporation method, evaporation device and method of fabricating light emitting device.
  161. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  162. Kailasam, Sridhar Karthik; Friedman, Robin; Pradhan, Anshu A.; Rozbicki, Robert T., Fabrication of low defectivity electrochromic devices.
  163. Kailasam, Sridhar; Friedman, Robin; Pradhan, Anshu; Rozbicki, Robert T., Fabrication of low defectivity electrochromic devices.
  164. Kozlowski, Mark; Kurman, Eric; Wang, Zhongchun; Scobey, Mike; Dixon, Jeremy; Pradhan, Anshu; Rozbicki, Robert, Fabrication of low defectivity electrochromic devices.
  165. Kozlowski, Mark; Kurman, Eric; Wang, Zhongchun; Scobey, Mike; Dixon, Jeremy; Pradhan, Anshu; Rozbicki, Robert, Fabrication of low defectivity electrochromic devices.
  166. Yamazaki, Shunpei; Murakami, Masakazu; Ohtani, Hisashi, Fabrication system and a fabrication method of a light emitting device.
  167. Talmer, Mark A., Fast swap dual substrate transport for load lock.
  168. Talmer, Mark A., Fast swap dual substrate transport for load lock.
  169. Talmer, Mark A., Fast swap dual substrate transport for load lock.
  170. Talmer,Mark A., Fast swap dual substrate transport for load lock.
  171. Xu Zheng ; Forster John ; Yao Tse-Yong ; Nulman Jaim ; Chen Fusen, Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer.
  172. Yuichi Wada JP; Hiroyuki Yarita JP; Hisashi Aida JP; Naomi Yoshida JP, Film deposition method and apparatus.
  173. Yamazaki, Shunpei; Nishi, Takeshi, Film forming apparatus and film forming method.
  174. Yamazaki,Shunpei; Nishi,Takeshi, Film forming apparatus and film forming method.
  175. Aoyama, Shintaro; Igeta, Masanobu; Yamazaki, Kazuyoshi, Film forming method.
  176. Yamazaki, Shunpei; Takayama, Toru; Fukunaga, Takeshi, Film-forming apparatus, method of cleaning the same, and method of manufacturing a light-emitting device.
  177. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  178. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  179. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  180. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  181. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  182. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  183. Seutter,Sean M.; Yang,Michael X.; Xi,Ming, Formation of a tantalum-nitride layer.
  184. Byun, Jeong Soo; Mak, Alfred, Formation of boride barrier layers using chemisorption techniques.
  185. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  186. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  187. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  188. Chen,Liang Yuh; Guo,Ted; Mosley,Roderick Craig; Chen,Fusen, Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug.
  189. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  190. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  191. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus for atomic layer deposition.
  192. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman; Chang, Mei, Gas delivery apparatus for atomic layer deposition.
  193. Yudovsky, Joseph, Gas distribution system for cyclical layer deposition.
  194. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  195. Sheydayi,Alexei; Sutton,Thomas, Gate valve for plus-atmospheric pressure semiconductor process vessels.
  196. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  197. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  198. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  199. Kasra Khazeni ; Eugene Tzou ; Zhengquan Tan, HDP-CVD deposition of low dielectric constant amorphous carbon film.
  200. Frankel, Jonathan; Ponnekanti, Hari; Shmurun, Inna; Sivaramakrishnan, Visweswaren, Heater/lift assembly for high temperature processing chamber.
  201. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  202. Sutton, Thomas R.; Biberger, Maximilan A., High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism.
  203. Jones, William D., High pressure fourier transform infrared cell.
  204. Biberger, Maximilian A.; Layman, Frederick Paul; Sutton, Thomas Robert, High pressure processing chamber for semiconductor substrate.
  205. Biberger,Maximilian A.; Layman,Frederick Paul; Sutton,Thomas Robert, High pressure processing chamber for semiconductor substrate.
  206. Provencher, Timothy J.; Hickson, Craig B., High temperature ALD inlet manifold.
  207. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  208. Francois J. Henley ; Michael A. Bryan ; William G. En, High temperature implant apparatus.
  209. Jones,William Dale, High-pressure processing chamber for a semiconductor wafer.
  210. Jones,William Dale, High-pressure processing chamber for a semiconductor wafer.
  211. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  212. Guo, Ted; Shi, Wei; Chen, Liang-Yuh, Hole-filling technique using CVD aluminum and PVD aluminum integration.
  213. Huang,Judy H., In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  214. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  215. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  216. Chu Po-Tao (Taoyuan TWX) Chang Kuang-Hui (Hsin-Chu TWX) Huang Yuan-Chang (Hsin-Chu TWX), In situ hot bake treatment that prevents precipitate formation after a contact layer etch back step.
  217. Felsenthal David ; Lee Chunghsin ; Sferlazzo Piero, In-line sputter deposition system.
  218. Robert P. Mandal, In-situ generation of p-xylyiene from liquid precursors.
  219. Chiang Jen Peng TW; Dian Hau Chen TW, In-situ photoresist removal by an attachable chamber with light source.
  220. Kurita Shinichi ; White John M., In-situ substrate transfer shuttle.
  221. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  222. Satitpunwaycha Peter ; Yao Gongda ; Ngan Kenny King-Tai ; Xu Zheng, Integrated PVD system for aluminum hole filling using ionized metal adhesion layer.
  223. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  224. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  225. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  226. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  227. Chung,Hua; Maity,Nirmalya; Yu,Jick; Mosely,Roderick Craig; Chang,Mei, Integration of ALD tantalum nitride for copper metallization.
  228. Chung, Hua; Chen, Ling; Yu, Jick; Chang, Mei, Integration of barrier layer and seed layer.
  229. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Integration of barrier layer and seed layer.
  230. Yang, Michael X.; Itoh, Toshio; Xi, Ming, Integration of titanium and titanium nitride layers.
  231. Yang,Michael X.; Itoh,Toshio; Xi,Ming, Integration of titanium and titanium nitride layers.
  232. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  233. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  234. Kurita, Shinichi; Blonigan, Wendell T.; Tanase, Yoshiaki, Large area substrate transferring method for aligning with horizontal actuation of lever arm.
  235. Teramoto, Satoshi; Ohtani, Hisashi; Miyanaga, Akiharu; Hamatani, Toshiji; Yamazaki, Shunpei, Laser processing method.
  236. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  237. Arnold T. M. Telkamp NL; Richard G. T. Fierkens NL, Leadframe transport and method therefor.
  238. Telkamp Arnold T. M.,NLX ; Fierkens Richard G. T.,NLX, Leadframe transport and method therefor.
  239. Bang Won ; Yieh Ellie ; Pham Thanh, Lid assembly for a process chamber employing asymmetric flow geometries.
  240. Nguyen, Anh N.; Yang, Michael X.; Xi, Ming; Chung, Hua; Chang, Anzhong; Yuan, Xiaoxiong; Lu, Siqing, Lid assembly for a processing system to facilitate sequential deposition techniques.
  241. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  242. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  243. Kao, Chien Teh; Chou, Jing Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See Eng, Lid assembly for front end of line fabrication.
  244. Frankel Jonathan ; Shmurun Inna ; Sivaramakrishnan Visweswaren ; Fukshansky Eugene, Lid assembly for high temperature processing chamber.
  245. Konuma, Toshimitsu; Yamazaki, Hiroko, Light emitting device and method of manufacturing method thereof and thin film forming apparatus.
  246. Konuma,Toshimitsu; Yamazaki,Hiroko, Light emitting device, method of manufacturing the same, and thin film forming apparatus.
  247. Meulen, Peter van der, Linear semiconductor processing facilities.
  248. van der Meulen, Peter, Linear semiconductor processing facilities.
  249. Hoey, Gee Sun; Bluck, Terry; Vu, Hoang Huy; Ryu, Jimin, Linear vacuum robot with Z motion and articulated arm.
  250. Hoey, Gee Sun; Bluck, Terry; Vu, Hoang Huy; Ryu, Jimin, Linear vacuum robot with Z motion and articulated arm.
  251. Hoey, Gee Sun; Bluck, Terry; Vu, Hoang Huy; Ryu, Jimin, Linear vacuum robot with z motion and articulated arm.
  252. Holtkamp, William; Kremerman, Izya; Hofmeister, Christopher; Pickreign, Richard, Linearly distributed semiconductor workpiece processing tool.
  253. Kurita,Shinichi; Blonigan,Wendell T.; Tanase,Yoshiaki, Load lock chamber for large area substrate processing system.
  254. Kurita,Shinichi; Blonigan,Wendell T., Load lock chamber having two dual slot regions.
  255. Lee, Jae-Chull; Anwar, Suhail; Kurita, Shinichi, Load lock chamber with decoupled slit valve door seal compartment.
  256. Aggarwal, Ravinder K.; Stoutjesdijk, Jeroen; Hill, Eric R.; Davis, Loring G.; DiSanto, John T., Load lock having secondary isolation chamber.
  257. Aggarwal, Ravinder; Stoutjesdijk, Jeroen; Hill, Eric; Davis, Loring G.; DiSanto, John T., Load lock having secondary isolation chamber.
  258. Dansberg, Michel Pieter; Hesdahl, Sjoerd; Jongeneel, Jan Pieter Roelof, Load lock system and method for transferring substrates in a lithography system.
  259. Raaijmakers,Ivo; Aggarwal,Ravinder; Kusbel,James, Loadlock with integrated pre-clean chamber.
  260. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  261. Hong, Sukwon; Tran, Toan; Mallick, Abhijit; Liang, Jingmei; Ingle, Nitin K., Low shrinkage dielectric films.
  262. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  263. Mosely Roderick Craig ; Zhang Hong ; Chen Fusen ; Guo Ted, Low temperature integrated metallization process and apparatus.
  264. Mosely, Roderick Craig; Zhang, Hong; Chen, Fusen; Guo, Ted, Low temperature integrated metallization process and apparatus.
  265. Mosely, Roderick Craig; Zhang, Hong; Chen, Fusen; Guo, Ted; Chen, Liang-Yuh, Low temperature integrated metallization process and apparatus.
  266. Roderick Craig Mosely ; Hong Zhang ; Fusen Chen ; Ted Guo, Low temperature integrated metallization process and apparatus.
  267. Chen Liang-Yuh ; Mosely Roderick Craig ; Chen Fusen ; Tao Rong ; Guo Ted, Low temperature integrated via and trench fill process and apparatus.
  268. Takayama, Toru; Yamazaki, Shunpei; Yamaguchi, Tetsuji, Manufacturing method for a semiconductor device and heat treatment method therefor.
  269. Yamazaki, Shunpei; Kuwabara, Hideaki; Murakami, Masakazu, Manufacturing method for light emitting device.
  270. Yamazaki, Shunpei; Kuwabara, Hideaki; Murakami, Masakazu, Manufacturing method for light emitting device.
  271. Yamazaki,Shunpei; Ohtani,Hisashi; Shimada,Hiroyuki; Sakama,Mitsunori; Abe,Hisashi; Teramoto,Satoshi, Manufacturing method of a thin film semiconductor device.
  272. Tanaka, Tetsuhiro, Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device.
  273. Yamazaki, Shunpei; Kuwabara, Hideaki; Murakami, Masakazu, Manufacturing method of light emitting device.
  274. Akimoto,Kengo; Takayama,Toru; Yamaguchi,Tetsuji; Yamazaki,Shunpei, Manufacturing method of semiconductor device.
  275. Ding, Peijun; Xu, Zheng; Zhang, Hong; Tang, Xianmin; Gopalraja, Praburam; Rengarajan, Suraj; Forster, John C.; Fu, Jianming; Chiang, Tony; Yao, Gongda; Chen, Fusen E.; Chin, Barry L.; Kohara, Gene Y., Metal / metal nitride barrier layer for semiconductor device applications.
  276. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  277. Schauer,Ronald Vern; Lappen,Alan Rick; Tuttle,David L., Method and apparatus for aligning a cassette.
  278. 65906 ; 19870500 ; Jervis, Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system.
  279. Sheydayi,Alexei, Method and apparatus for clamping a substrate in a high pressure processing system.
  280. Goshi,Gentaro, Method and apparatus for cooling motor bearings of a high pressure pump.
  281. Xi,Ming; Sinha,Ashok; Kori,Moris; Mak,Alfred W.; Lu,Xinliang; Lai,Ken Kaung; Littau,Karl A., Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer.
  282. Byun, Jeong Soo, Method and apparatus for depositing tungsten after surface treatment to improve film characteristics.
  283. Byun,Jeong Soo, Method and apparatus for depositing tungsten after surface treatment to improve film characteristics.
  284. Rocha-Alvarez, Juan Carlos; Chen, Chen-An; Venkataraman, Shankar, Method and apparatus for fluid flow control.
  285. Kimihiro Matsuse JP; Sakae Nakatsuka JP; Kentaro Oshimo JP, Method and apparatus for forming a film on an object to be processed.
  286. Robles, Stuardo A.; Sivaramakrishnan, Visweswaren; Nguyen, Bang C.; Rao, Gayathri; Fong, Gary; Lam, Vicente; Lee, Peter Wai-Man; Chang, Mei, Method and apparatus for forming a thin polymer layer on an integrated circuit structure.
  287. Sivaramakrishnam Visweswaren ; Nguyen Bang C. ; Rao Gayathri ; Robles Stuardo ; Fong Gary L. ; Lim Vicente ; Lee Peter W., Method and apparatus for forming a thin polymer layer on an integrated circuit structure.
  288. Xia Li-Qun ; Sivaramakrishnan Visweswaren ; Nemani Srinivas ; Yieh Ellie ; Fong Gary, Method and apparatus for gettering fluorine from chamber material surfaces.
  289. Robert C. Cook ; Daniel L. Brors, Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors.
  290. Ilya Perlov ; Alexey Goder ; Eugene Gantvarg ; Howard E. Grunes, Method and apparatus for improved substrate handling.
  291. Perlov, Ilya; Goder, Alexey; Gantvarg, Evgueni; Grunes, Howard E, Method and apparatus for improved substrate handling.
  292. Ken Ngan ; Simon Hui ; Seshadri Ramaswami, Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma.
  293. Ngan, Kenny King-Tai; Hui, Ying Yin; Ramaswami, Seshadri, Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma.
  294. Gupta Anand ; Rana Virendra V. S. ; Verma Amrita ; Bhan Mohan K. ; Subrahmanyam Sudhakar, Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface.
  295. Gupta Anand ; Rana Virendra V. S. ; Verma Amrita ; Bhan Mohan K. ; Subrahmanyam Sudhakar, Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface.
  296. Gupta Anand ; Rana Virendra V. S. ; Verma Amrita ; Bhan Mohan K. ; Subrahmanyam Sudhakar, Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface.
  297. Paranjpe,Ajit P., Method and apparatus for layer by layer deposition of thin films.
  298. Cheng David, Method and apparatus for loading and unloading wafers from a wafer carrier.
  299. Smith ; Jr. Michael Lane ; Stevenson Joel O'Don ; Ward Pamela Peardon Denise, Method and apparatus for monitoring plasma processing operations.
  300. Chu Hsin-Kun (Hsinchu Hsien TWX), Method and apparatus for performing chemical vapor deposition.
  301. Leedy Glenn, Method and apparatus for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus.
  302. Weaver, Robert A.; Wilson, Gregory J.; McHugh, Paul R., Method and apparatus for processing a microelectronic workpiece at an elevated temperature.
  303. Robert A. Weaver ; Paul R. McHugh ; Gregory J. Wilson, Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature.
  304. Weaver, Robert A.; McHugh, Paul R.; Wilson, Gregory J., Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature.
  305. Wasserman Yuval, Method and apparatus for processing refractory metals on semiconductor substrates.
  306. Kawasaki Yoshinao,JPX ; Kawahara Hironobu,JPX ; Sato Yoshiaki,JPX ; Fukuyama Ryooji,JPX ; Nojiri Kazuo,JPX ; Torii Yoshimi,JPX, Method and apparatus for processing samples.
  307. Torii,Yoshimi; Nojiri,Kazuo; Kawasaki,Yoshinao; Sato,Yoshiaki; Fukuyama,Ryooji; Kawahara,Hironobu, Method and apparatus for processing samples.
  308. Ogure, Naoaki; Inoue, Hiroaki, Method and apparatus for protection of substrate surface.
  309. Mustafa Pinarbasi, Method and apparatus for providing deposited layer structures and articles so produced.
  310. Anand Gupta, Method and apparatus for reducing particle contamination in a substrate processing chamber.
  311. Gupta Anand, Method and apparatus for reducing particle contamination in a substrate processing chamber.
  312. Gupta Anand ; Wolff Stefan ; Galiano Maria, Method and apparatus for reducing particle generation by limiting DC bias spike.
  313. White John M. ; Blonigan Wendell T. ; Tiner Robin L. ; Kurita Shinichi, Method and apparatus for substrate transfer and processing.
  314. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Method and apparatus for supercritical processing of multiple workpieces.
  315. Huang, Kegang; Huang, Judy H; Xu, Ping, Method and apparatus for treating low k dielectric layers to reduce diffusion.
  316. De Jong, Hendrik Jan; Wieland, Marco Jan-Jaco, Method and arrangement for handling and processing substrates.
  317. Kuznetsov,Vladimir Ivanovich; Radelaar,Sijbrand; Van Der Sanden,Jacobus Cornells Gerardus; Ruijl,Theo Anjes Maria, Method and device for rotating a wafer.
  318. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method and system for controlling the presence of fluorine in refractory metal layers.
  319. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method and system for controlling the presence of fluorine in refractory metal layers.
  320. Sinha,Ashok; Xi,Ming; Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua, Method and system for controlling the presence of fluorine in refractory metal layers.
  321. Parent,Wayne M.; Goshi,Gentaro, Method and system for cooling a pump.
  322. Parent,Wayne M., Method and system for determining flow conditions in a high pressure processing system.
  323. Parent, Wayne M.; Geshell, Dan R., Method and system for passivating a processing chamber.
  324. Leedy, Glenn, Method and system for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus.
  325. Hansen,Brandon; Lowe,Marie, Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid.
  326. Hongyong Zhang JP; Naoto Kusumoto JP, Method for annealing a semiconductor.
  327. Zhang Hongyong,JPX ; Kusumoto Naoto,JPX, Method for annealing a semiconductor.
  328. Zhang, Hongyong; Kusumoto, Naoto, Method for annealing a semiconductor.
  329. Chiang, Tony; Yao, Gongda; Ding, Peijun; Chen, Fusen E.; Chin, Barry L.; Kohara, Gene Y.; Xu, Zheng; Zhang, Hong, Method for depositing a diffusion barrier layer and a metal conductive layer.
  330. Kam S. Law ; Robert Robertson ; Pamela Lou ; Marc Michael Kollrack ; Angela Lee ; Dan Maydan, Method for depositing amorphous silicon thin films onto large area glass substrates by chemical vapor deposition at high deposition rates.
  331. Ritzdorf, Thomas L.; Graham, Lyndon W., Method for filling recessed micro-structures with metallization in the production of a microelectronic device.
  332. Ritzdorf,Thomas L.; Graham,Lyndon W., Method for filling recessed micro-structures with metallization in the production of a microelectronic device.
  333. Codama,Mitsufumi, Method for forming a MOS transistor having lightly dopped drain regions and structure thereof.
  334. Hill, Christopher W.; Li, Weimin; Sandhu, Gurtej S., Method for forming a selective contact and local interconnect in situ.
  335. Christopher W. Hill ; Weimin Li ; Gurtej S. Sandhu, Method for forming a selective contact and local interconnect in situ and semiconductor devices carrying the same.
  336. Zhang, Honyong; Kusumoto, Naoto, Method for forming a semiconductor.
  337. Zhang, Honyong; Kusumoto, Naoto, Method for forming a semiconductor.
  338. Zhang,Hongyong; Kusumoto,Naoto, Method for forming a semiconductor.
  339. Lin Yih-Shung (Plano TX) Liou Fu-Tai (Carrollton TX), Method for forming an aluminum contact through an insulating layer.
  340. Choi Kyeong K. (Kyungki-do KRX) Kim Choon H. (Kyungki-do KRX), Method for forming contacts in semiconductor device.
  341. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method for forming tungsten materials during vapor deposition processes.
  342. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Method for forming tungsten materials during vapor deposition processes.
  343. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  344. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  345. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for front end of line fabrication.
  346. Kao,Chien Teh; Chou,Jing Pei (Connie); Lai,Chiukin (Steven); Umotoy,Sal; Huston,Joel M.; Trinh,Son; Chang,Mei; Yuan,Xiaoxiong (John); Chang,Yu; Lu,Xinliang; Wang,Wei W.; Phan,See Eng, Method for front end of line fabrication.
  347. Chiang, Tony P.; Leeser, Karl F., Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber.
  348. Xu Zheng ; Forster John ; Yao Tse-Yong, Method for low thermal budget metal filling and planarization of contacts vias and trenches.
  349. Ichijo, Mitsuhiro; Kuriki, Kazutaka; Yokoi, Tomokazu; Endo, Toshiya, Method for manufacturing microcrystalline semiconductor and thin film transistor.
  350. Arai Michio,JPX ; Kakaya Kenji,JPX ; Namba Kenryo,JPX, Method for manufacturing organic electroluminescent element and apparatus therefor.
  351. Takayama, Toru; Yamazaki, Shunpei; Yamaguchi, Tetsuji, Method for manufacturing semiconductor device and heat treatment method.
  352. Takayama,Toru; Yamazaki,Shunpei; Yamaguchi,Tetsuji, Method for manufacturing semiconductor device and heat treatment method.
  353. Frey Jeffrey (5511 Center St. Chevy Chase MD 20815), Method for manufacturing semiconductor devices.
  354. Kam S. Law ; Robert Robertson ; Pamela Lou ; Marc Michael Kollrack ; Angela Lee ; Dan Maydan, Method for multilayer CVD processing in a single chamber.
  355. Chan, Chung, Method for non mass selected ion implant profile control.
  356. Yamazaki, Shunpei; Shimada, Hiroyuki; Takenouchi, Akira; Takemura, Yasuhiko, Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device.
  357. Yamazaki Shunpei,JPX ; Shimada Hiroyuki,JPX ; Takenouchi Akira,JPX ; Takemura Yasuhiko,JPX, Method for processing semiconductor device, apparatus for processing a semiconductor and apparatus for processing semiconductor device.
  358. Hongyong Zhang JP; Yasuhiko Takemura JP; Toru Takayama JP, Method for producing semiconductor device.
  359. Zhang, Hongyong; Takemura, Yasuhiko; Takayama, Toru, Method for producing semiconductor device.
  360. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide.
  361. Zhao Jun ; Luo Lee ; Jin Xiao Liang, Method for substrate processing with improved throughput and yield.
  362. Kawamura,Kohei; Asano,Akira; Miyatani,Koutarou; Hillman,Joseph T.; Palmer,Bentley, Method for supercritical carbon dioxide processing of fluoro-carbon films.
  363. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Method for supercritical processing of multiple workpieces.
  364. Sugarman Michael N. (San Francisco CA) Beesely Michael (Sunnyvale CA) Kelsey Shannon J. (Los Gatos CA) Steger Robert J. (Cupertino CA), Method for supporting a wafer in a combined wafer support and temperature monitoring device.
  365. Yamazaki, Shunpei, Method for the manufacture of an insulated gate field effect semiconductor device.
  366. Kurita,Shinichi; Blonigan,Wendell T., Method for transferring substrates in a load lock chamber.
  367. An,Jae Hyuck, Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices.
  368. Chiang,Tony; Yao,Gongda; Ding,Peijun; Chen,Fusen E.; Chin,Barry L.; Kohara,Gene Y.; Xu,Zheng; Zhang,Hong, Method of depositing a metal seed layer on semiconductor substrates.
  369. Chiang,Tony; Yao,Gongda; Ding,Peijun; Chen,Fusen E.; Chin,Barry L.; Kohara,Gene Y.; Xu,Zheng; Zhang,Hong, Method of depositing a metal seed layer on semiconductor substrates.
  370. Ding,Peijun; Xu,Zheng; Zhang,Hong; Tang,Xianmin; Gopalraja,Praburam; Rengarajan,Suraj; Forster,John C.; Fu,Jianming; Chiang,Tony; Yao,Gongda; Chen,Fusen E.; Chin,Barry L.; Kohara,Gene Y., Method of depositing a tantalum nitride/tantalum diffusion barrier layer system.
  371. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  372. Biberger,Maximilian A.; Schilling,Paul E., Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module.
  373. Yamazaki, Shunpei, Method of fabricating an EL display device, and apparatus for forming a thin film.
  374. Yamazaki,Shunpei, Method of fabricating an EL display device, and apparatus for forming a thin film.
  375. Yamazaki, Shunpei; Murakami, Masakazu, Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device.
  376. Yamazaki,Shunpei; Murakami,Masakazu, Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device.
  377. Yamamoto,Yoshiaki, Method of fabricating semiconductor device.
  378. Ko, Jungmin, Method of fin patterning.
  379. Zhang, Hongyong; Uochi, Hideki; Miyanaga, Akira; Ohtani, Hisashi, Method of forming semiconductor device by crystallizing amorphous silicon and forming crystallization promoting material in the same chamber.
  380. De Jong, Hendrik Jan, Method of handling a substrate support structure in a lithography system.
  381. Turner Norman L. (Mountain View CA) White John MacNeill (Los Gatos CA) Berkstresser David (Los Gatos CA), Method of heating and cooling large area glass substrates.
  382. Turner Norman L. (Mountain View CA) White John M. (Los Gatos CA) Berkstresser David (Los Gatos CA), Method of heating and cooling large area substrates and apparatus therefor.
  383. Fukiage, Noriaki; Babich, Katherina, Method of improving post-develop photoresist profile on a deposited dielectric film.
  384. Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun, Method of improving stability in low k barrier layers.
  385. Li,Lihua; Huang,Tzu Fang; Xia,Li Qun, Method of improving stability in low k barrier layers.
  386. Yamazaki, Shunpei; Fukunaga, Takeshi, Method of manufacturing a display device.
  387. Yamazaki, Shunpei; Fukunaga, Takeshi, Method of manufacturing a display device.
  388. Yamazaki, Shunpei; Fukunaga, Takeshi, Method of manufacturing a light emitting device.
  389. Arai, Yasuyuki, Method of manufacturing a light-emitting device.
  390. Yamazaki, Shunpei; Ohtani, Hisashi; Shimada, Hiroyuki; Sakama, Mitsunori; Abe, Hisashi; Teramoto, Satoshi, Method of manufacturing a semiconductor device.
  391. Yamazaki,Shunpei; Ohtani,Hisashi; Shimada,Hiroyuki; Sakama,Mitsunori; Abe,Hisashi; Teramoto,Satoshi, Method of manufacturing a semiconductor device.
  392. Yamazaki Shunpei,JPX ; Zhang Hongyong,JPX ; Inushima Takashi,JPX ; Fukada Takeshi,JPX, Method of manufacturing a thin film transistor using multiple sputtering chambers.
  393. Yamazaki Shunpei,JPX ; Zhang Hongyong,JPX ; Inushima Takashi,JPX ; Fukada Takeshi,JPX, Method of manufacturing gate insulated field effect transistors.
  394. Yamazaki, Shunpei; Zhang, Hongyong; Inushima, Takashi; Fukada, Takeshi, Method of manufacturing gate insulated field effect transistors.
  395. Yamazaki,Shunpei; Zhang,Hongyong; Inushima,Takashi; Fukada,Takeshi, Method of manufacturing gate insulated field effect transistors.
  396. Takamizawa Shoichi,JPX ; Kobayashi Norihiro,JPX, Method of manufacturing mirror-polished silicon wafers, and apparatus for processing silicon wafers.
  397. Matsumoto Shigeyuki,JPX ; Ikeda Osamu,JPX, Method of producing a wiring for a semiconductor circuit.
  398. Yamada Yoshiaki,JPX ; Kajiyana Kiyonori,JPX, Method of producing semiconductor device having buried contact structure.
  399. Kamiya Masaaki,JPX ; Aoki Kenji,JPX ; Saito Naoto,JPX, Method of producing semiconductor device using an adsorption layer.
  400. Chen,LinLin; Graham,Lyndon W.; Ritzdorf,Thomas L.; Fulton,Dakin; Batz, Jr.,Robert W., Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density.
  401. Biberger,Maximilian Albert; Layman,Frederick Paul; Sutton,Thomas Robert, Method of supercritical processing of a workpiece.
  402. Christopher A. Hofmeister, Method of transferring substrates with two different substrate holding end effectors.
  403. Lowrance, Robert B.; Englhardt, Eric Andrew; Rice, Michael R.; Shah, Vinay; Koshti, Sushant S.; Hudgens, Jeffrey C., Methods and apparatus for a band to band transfer module.
  404. Fong Gary ; Xia Li-Qun ; Nemani Srinivas ; Yieh Ellie, Methods and apparatus for cleaning surfaces in a substrate processing system.
  405. Li-Qun Xia ; Ellie Yieh ; Srinivas Nemani, Methods and apparatus for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions.
  406. Yieh Ellie ; Xia Li-Qun ; Gee Paul ; Nguyen Bang, Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films.
  407. Yieh Ellie ; Xia Li-Qun ; Gee Paul ; Nguyen Bang, Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films.
  408. Li-Qun Xia ; Visweswaren Sivaramakrishnan ; Srinivas Nemani ; Ellie Yieh ; Gary Fong, Methods and apparatus for gettering fluorine from chamber material surfaces.
  409. Fong Gary ; Chang Fong ; Nguyen Long, Methods and apparatus for pre-stabilized plasma generation for microwave clean applications.
  410. Ritzdorf,Thomas L.; Eudy,Steve L.; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Aegerter,Brian; Dundas,Curt; Peace,Steven L., Methods and apparatus for processing microelectronic workpieces using metrology.
  411. Ghanayem Steve G. ; Chandrachood Madhavi, Methods and apparatus for reducing particle contamination during wafer transport.
  412. van der Meulen,Peter, Methods and systems for handling a workpiece in vacuum-based material handling system.
  413. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  414. Xia Li-Qun ; Yieh Ellie ; Nemani Srinivas, Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions.
  415. Lai, Ken Kaung; Rajagopalan, Ravi; Khandelwal, Amit; Moorthy, Madhu; Gandikota, Srinivas; Castro, Joseph; Gelatos, Avgerinos V.; Knepfler, Cheryl; Jian, Ping; Fang, Hongbin; Huang, Chao-Ming; Xi, Ming; Yang, Michael X.; Chung, Hua; Byun, Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  416. Lai,Ken Kaung; Rajagopalan,Ravi; Khandelwal,Amit; Moorthy,Madhu; Gandikota,Srinivas; Castro,Joseph; Gelatos,Averginos V.; Knepfler,Cheryl; Jian,Ping; Fang,Hongbin; Huang,Chao Ming; Xi,Ming; Yang,Michael X.; Chung,Hua; Byun,Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  417. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  418. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  419. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  420. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  421. Yieh Ellie ; Xia Li-Qun ; Nemani Srinivas, Methods for shallow trench isolation.
  422. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  423. Leveen Lindsay, Microelectronic component fabrication facility, and process for making and using the facility.
  424. Yamazaki Shunpei,JPX, Microwave enhanced CVD system under magnetic field.
  425. Yamazaki, Shunpei, Microwave enhanced CVD system under magnetic field.
  426. van der Meulen, Peter, Mid-entry load lock for semiconductor handling system.
  427. Daniel L. Brors ; Robert C. Cook, Mini-batch process chamber.
  428. Bright Nick ; Mooring Ben, Modular architecture for semiconductor wafer fabrication equipment.
  429. White John M. ; Conner Robert B. ; Law Kam S. ; Turner Norman L. ; Lee William T. ; Kurita Shinichi, Modular substrate processing system.
  430. Warner ; Jr. Raymond M. ; Schrimpf Ronald D. ; Tuszynski Alfons, Monocrystalline three-dimensional integrated circuit.
  431. Tepman Avi, Monolith processing system platform.
  432. Korwin, Michel J.; Szymborski, Janusz, Multi-cell thermal processing unit.
  433. Emerson,David Todd, Multi-chamber MOCVD growth apparatus for high performance/high throughput.
  434. Kamei Mitsuhiro,JPX ; Setoyama Eiji,JPX ; Umehara Satoshi,JPX, Multi-chamber sputtering apparatus.
  435. Kim, Ki-Sang; Jeoung, Gyu-Chan; Kwag, Gyu-hwan, Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing.
  436. Kim, Ki-sang; Jeoung, Gyu-chan; Kwag, Gyu-hwan, Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing.
  437. Kim, Ki-sang; Jeoung, Gyu-chan; Kwag, Gyu-hwan, Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing.
  438. John M. White ; Wendell T. Blonigan ; Michael W. Richter, Multi-function chamber for a substrate processing system.
  439. White John M. ; Blonigan Wendell T. ; Richter Michael W., Multi-function chamber for a substrate processing system.
  440. White John M. ; Blonigan Wendell T. ; Richter Michael W., Multi-function chamber for a substrate processing system.
  441. Felsenthal David ; Lee Chunghsin ; Sferlazzo Piero, Multi-layer sputter deposition apparatus.
  442. Muka Richard S., Multi-level substrate processing apparatus.
  443. Muka Richard S., Multi-level substrate processing apparatus.
  444. Fairbairn Kevin ; Sinha Ashok, Multideck wafer processing system.
  445. Jeng Shwangming,TWX ; Chung Chen-Fang,TWX, Multiple chamber vacuum processing system configuration for improving the stability of mark shielding process.
  446. Heyder Roger V. ; Brezocsky Thomas B. ; Davenport Robert E., Multiple loadlock system.
  447. Roger V. Heyder ; Thomas B. Brezocsky ; Robert E. Davenport, Multiple loadlock system.
  448. Yang, Michael Xi; Yoon, Hyungsuk Alexander; Zhang, Hui; Fang, Hongbin; Xi, Ming, Multiple precursor cyclical deposition system.
  449. Kurita, Shinichi; Anwar, Suhail; Lee, Jae-Chull, Multiple slot load lock chamber and method of operation.
  450. Liu Joanna ; Xu Zheng, Multiple step ionized metal plasma deposition process for conformal step coverage.
  451. Sivaramakrishnan Visweswaren ; Ravi Tirunelveli S. ; Ravi Kramadhati V., Multiple substrate processing apparatus for enhanced throughput.
  452. Aggarwal, Ravinder; Haro, Bob, Non-contact cool-down station for wafers.
  453. Aggarwal,Ravinder; Haro,Bob, Non-contact cool-down station for wafers.
  454. Sheydayi,Alexei, Non-contact shuttle valve for flow diversion in high pressure systems.
  455. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  456. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  457. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  458. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  459. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  460. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  461. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  462. Yang, Haichun; Lu, Xinliang; Kao, Chien-Teh; Chang, Mei, Passivation layer formation by plasma clean process to reduce native oxide growth.
  463. Cheng David ; Chang Mei, Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions.
  464. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  465. Gilmer Mark C. ; Gardner Mark I. ; Spikes Thomas E., Performing a semiconductor fabrication sequence within a common chamber and without opening the chamber beginning with f.
  466. Sneh, Ofer, Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems.
  467. Sneh, Ofer, Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems.
  468. Lei Lawrence ; Trinh Son ; Tzu Gwo-Chuan ; Johnson Mark, Pivoting lid assembly for a chamber.
  469. Timothy A. Gessert, Plasma & reactive ion etching to prepare ohmic contacts.
  470. Shunpei Yamazaki JP; Toru Takayama JP; Mitsunori Sakama JP; Hisashi Abe JP; Hiroshi Uehara JP; Mika Ishiwata JP, Plasma CVD apparatus.
  471. Yamazaki Shunpei,JPX ; Takayama Toru,JPX ; Sakama Mitsunori,JPX ; Abe Hisashi,JPX ; Uehara Hiroshi,JPX ; Ishiwata Mika,JPX, Plasma CVD apparatus.
  472. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  473. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  474. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  475. Lang, Chi-I; Jeng, Shin-Puu; Ma, Yeming Jim; Chang, Fong; Lee, Peter Wai-Man; Cheung, David W., Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds.
  476. Zhang, Fenggang, Plasma processing apparatus.
  477. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  478. Huang,Judy, Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers.
  479. Lee, Wei Ti; Guo, Ted, Plasma-enhanced chemical vapor deposition of a metal nitride layer.
  480. Yang, Michael X.; Itoh, Toshio; Xi, Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  481. Yang,Michael X.; Itoh,Toshio; Xi,Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  482. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  483. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  484. Yoshida,Masao; Sekimoto,Masahiko, Polishing apparatus.
  485. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  486. De Jong, Hendrik Jan, Preparation unit for lithography machine.
  487. Sheydayi,Alexei, Pressure energized pressure vessel opening and closing device and method of providing therefor.
  488. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  489. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  490. Todd Craig B. ; Yu James E., Process chamber lid.
  491. Kim Daehwan D., Process chamber tray.
  492. Song, Kevin; Ravi, Jallepally; Li, Shih-Hung; Chen, Liang-Yuh, Process conditions and precursors for atomic layer deposition (ALD) of AL2O3.
  493. Wuester,Christopher D., Process flow thermocouple.
  494. Hamanaka, Nobuaki, Process for fabricating semiconductor device having silicide layer with low resistance and uniform profile and sputtering system used therein.
  495. Ganguli, Seshadri; Yu, Sang-Ho; Phan, See-Eng; Chang, Mei; Khandelwal, Amit; Ha, Hyoung-Chan, Process for forming cobalt and cobalt silicide materials in tungsten contact applications.
  496. Ganguli, Seshadri; Yu, Sang-Ho; Phan, See-Eng; Chang, Mei; Khandelwal, Amit; Ha, Hyoung-Chan, Process for forming cobalt and cobalt silicide materials in tungsten contact applications.
  497. Ganguli, Seshadri; Chu, Schubert S.; Chang, Mei; Yu, Sang-Ho; Moraes, Kevin; Phan, See-Eng, Process for forming cobalt-containing materials.
  498. Ramaswamy,Kartik; Hanawa,Hiroji; Gallo,Biagio; Collins,Kenneth S.; Ma,Kai; Parihar,Vijay; Jennings,Dean; Mayur,Abhilash J.; Al Bayati,Amir; Nguyen,Andrew, Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing.
  499. Koai Keith ; Lei Lawrence Chung-Lai ; Chang Mei ; Johnson Mark S., Processing chamber and method for confining plasma.
  500. Kawamura Yoshio (Kokubunji JPX) Moriyama Shigeo (Tama JPX) Yamamoto Tatuharu (Higashi-Murayama JPX) Uchida Fumihiko (Hachioji JPX), Processing method and equipment for processing a semiconductor device having holder/carrier with flattened surface.
  501. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  502. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  503. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  504. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  505. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  506. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  507. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  508. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  509. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  510. Lu, Xinliang; Jian, Ping; Yoo, Jong Hyun; Lai, Ken Kaung; Mak, Alfred W.; Jackson, Robert L.; Xi, Ming, Pulsed deposition process for tungsten nucleation.
  511. Lu,Xinliang; Jian,Ping; Yoo,Jong Hyun; Lai,Ken Kaung; Mak,Alfred W.; Jackson,Robert L.; Xi,Ming, Pulsed nucleation deposition of tungsten layers.
  512. Dunn, Todd; White, Carl; Halpin, Mike; Shero, Eric; Terhorst, Herbert; Winkler, Jerry, Pulsed valve manifold for atomic layer deposition.
  513. Rocha-Alvarez, Juan Carlos; Chen, Chen-An; Yieh, Ellie; Venkataraman, Shankar, Purge heater design and process development for the improvement of low k film properties.
  514. Tseng Jennifer Meng Chu ; Chang Mei ; Srinivas Ramanujapuram A. ; Rinnen Klaus-Dieter ; Eizenberg Moshe,ILX ; Telford Susan Weihar,DEX, Purge in silicide deposition processes dichlorosilane.
  515. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  516. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  517. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  518. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  519. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  520. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  521. Moore Gary M. (Monte Sereno CA) Nishikawa Katsuhito (San Jose CA), Rapid thermal processing apparatus for processing semiconductor wafers.
  522. Moore Gary M. ; Nishikawa Katsuhito, Rapid thermal processing apparatus for processing semiconductor wafers.
  523. Moore Gary M. ; Nishikawa Katsuhito, Rapid thermal processing apparatus for processing semiconductor wafers.
  524. Moore Gary M. ; Nishikawa Katsuhito, Rapid thermal processing apparatus for processing semiconductor wafers.
  525. Cohen Barney M. ; Su Jingang ; Ngan Kenny King-Tai, Reactive plasma etch cleaning of high aspect ratio openings.
  526. Komino Mitsuaki,JPX, Reduced pressure and normal pressure treatment apparatus.
  527. Zhang, Jian, Reflow treating unit and substrate treating apparatus.
  528. Zhang, Jian, Reflow treating unit and substrate treating apparatus.
  529. Zhang, Jian, Reflow treating unit and substrate treating apparatus.
  530. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  531. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  532. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  533. Mullee, William H.; de Leeuwe, Marc; Roberson, Jr., Glenn A., Removal of CMP residue from semiconductor substrate using supercritical carbon dioxide process.
  534. Hwang Yuan-Ko,TWX, Removal of particulate contamination in loadlocks.
  535. Mullee, William H., Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process.
  536. Grunes Howard (Santa Cruz CA) Tepman Avi (Cupertino CA) Lowrance Robert (Los Gatos CA), Robot assembly.
  537. Grunes Howard ; Lowrance Robert B. ; Tepman Avi, Robot assembly.
  538. Shamlou Behzad ; Tu Wen Chiang ; Pham Xuyen ; Chang Yu ; Clark Daniel O. ; Wu Shun, Robot blade for handling of semiconductor substrate.
  539. Shamlou Behzad ; Tu Wen Chiang ; Pham Xuyen ; Chang Yu ; Clark Daniel O. ; Wu Shun, Robot blade for handling of semiconductor substrates.
  540. Genov Genco ; Todorov Alexander ; Kostov Lubo ; Petkov Peter ; Totev Valentin ; Bonev Eugene ; Sotirov Zlatko, Robot having multiple degrees of freedom.
  541. Genov Genco ; Todorov Alexander ; Kostov Lubo ; Petkov Peter ; Totev Valentin ; Bonev Eugene ; Sotirov Zlatko, Robot having multiple degrees of freedom.
  542. Genco Genov ; Zlatko M. Sotirov ; Eugene Bonev, Robot motion compensation system.
  543. Theriault Victor J., Robot mounting de-coupling technique.
  544. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  545. Moura, Jairo T.; Gilchrist, Ulysses; Caveney, Robert T., Sealed robot drive.
  546. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  547. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  548. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  549. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  550. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  551. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  552. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  553. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  554. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  555. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  556. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  557. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  558. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  559. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  560. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  561. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  562. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  563. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  564. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  565. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  566. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  567. Yamazaki, Shunpei; Shibata, Noriko, Self-light emitting device and method of manufacturing the same.
  568. Yamazaki,Shunpei; Shibata,Noriko, Self-light emitting device and method of manufacturing the same.
  569. Yamazaki,Shunpei; Shibata,Noriko, Self-light emitting device and method of manufacturing the same.
  570. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  571. Kasahara, Kenji, Semiconductor apparatus having semiconductor circuits made of semiconductor devices, and method of manufacture thereof.
  572. Yamazaki, Shunpei; Takemura, Yasuhiko; Mase, Akira; Uochi, Hideki, Semiconductor device and method for forming the same.
  573. Xu Zheng ; Forster John ; Yao Tse-Yong, Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches.
  574. Zhang, Hongyong; Takayama, Toru, Semiconductor device having channel formation region comprising silicon and containing a group IV element.
  575. Kasahara,Kenji, Semiconductor device having two insulating films provided over a substrate.
  576. Yamazaki Shunpei,JPX, Semiconductor device, manufacturing method, and system.
  577. Yamazaki Shunpei,JPX, Semiconductor device, manufacturing method, and system.
  578. Yamazaki Shunpei,JPX, Semiconductor device, manufacturing method, and system.
  579. Kawamura Yoshio,JPX ; Kashima Hideo,JPX ; Moriyama Shigeo,JPX, Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing.
  580. Kawamura Yoshio,JPX ; Kashima Hideo,JPX ; Moriyama Shigeo,JPX, Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing semiconductor device.
  581. van der Meulen, Peter, Semiconductor manufacturing systems.
  582. Yamagishi, Takayuki; Suwada, Masaei; Watanabe, Takeshi, Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections.
  583. Yamagishi,Takayuki; Suwada,Masaei; Watanabe,Takeshi, Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections.
  584. Li Shih-Hung ; Green Timothy, Semiconductor processing chamber calibration tool.
  585. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  586. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  587. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  588. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  589. Gardner Mark I. ; Gilmer Mark C., Semiconductor trench isolation structure formed substantially within a single chamber.
  590. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling and transport.
  591. van der Meulen, Peter; Kiley, Christopher C; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling and transport.
  592. van der Meulen, Peter; Kiley, Christopher C.; Pannese, Patrick D.; Ritter, Raymond S.; Schaefer, Thomas A., Semiconductor wafer handling transport.
  593. Tseng, Kuo-Shu; Sung, Yi-Chang; Tsao, Chia-Chi; Lin, Chih-Che, Semiconductor wafer robot alignment system and method.
  594. Yamagishi, Takayuki, Semiconductor-processing reaction chamber.
  595. Beginski, David A., Sensor signal transmission from processing system.
  596. Huston, Joel M., Showerhead assembly.
  597. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  598. Bencher, Christopher; Feng, Joe; Shek, Mei-Yee; Ngai, Chris; Huang, Judy, Silicon carbide deposition for use as a low dielectric constant anti-reflective coating.
  599. Bencher, Christopher; Feng, Joe; Shek, Mei-Yee; Ngai, Chris; Huang, Judy, Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating.
  600. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  601. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  602. Takayama, Toru; Yamazaki, Shunpei; Akimoto, Kengo, Silicon nitride film and semiconductor device.
  603. Takayama,Toru; Yamazaki,Shunpei; Akimoto,Kengo, Silicon nitride film and semiconductor device, and manufacturing method thereof.
  604. Takayama, Toru; Yamazaki, Shunpei; Akimoto, Kengo, Silicon nitride film, and semiconductor device.
  605. Takayama, Toru; Yamazaki, Shunpei; Akimoto, Kengo, Silicon nitride film, and semiconductor device.
  606. Takayama, Toru; Yamazaki, Shunpei; Akimoto, Kengo, Silicon nitride film, and semiconductor device and method of manufacturing the same.
  607. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  608. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  609. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  610. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  611. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  612. Glenn, W. Benjamin; Verplancken, Donald J., Simultaneous cyclical deposition in different processing regions.
  613. Carducci Jim, Single drive, dual plane robot.
  614. Ted Guo ; Liang-Yuh Chen ; Mehul Naik ; Roderick C. Mosely, Single step process for blanket-selective CVD aluminum deposition.
  615. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  616. Bhola De ; Mark Spencer Grey, Spatula for separation of thinned wafer from mounting carrier.
  617. Chen, Linlin; Graham, Lyndon W.; Ritzdorf, Thomas L.; Fulton, Dakin; Batz, Jr., Robert W., Submicron metallization using electrochemical deposition.
  618. Krupyshev, Alexander G., Substrate apparatus calibration and synchronization procedure.
  619. Krupyshev, Alexander G., Substrate apparatus calibration and synchronization procedure.
  620. Krupyshev, Alexander G., Substrate apparatus calibration and synchronization procedure.
  621. Hofmeister Christopher, Substrate carrier as batchloader.
  622. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Substrate changing-over mechanism in a vaccum tank.
  623. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Substrate changing-over mechanism in vacuum tank.
  624. Thomas W. Aswad, Substrate cooling system.
  625. Aswad, Thomas W., Substrate cooling system and method.
  626. Whitesell Andrew B., Substrate handling and processing system and method.
  627. Coad George L. ; Lawson Eric C. ; Hughes John Lester, Substrate handling and processing system for flat panel displays.
  628. Muka Richard S., Substrate heating apparatus with cantilevered lifting arm.
  629. Makiguchi Issei,JPX ; Hamano Katsuyoshi,JPX ; Akao Tokunobu,JPX, Substrate heating equipment for use in a semiconductor fabricating apparatus.
  630. Adams Douglas R. ; Caveney Robert T. ; Ha Twan T. ; Lucas Brian M., Substrate holder having vacuum holding and gravity holding.
  631. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  632. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  633. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  634. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  635. Hofmeister, Christopher; Caveney, Robert T., Substrate processing apparatus.
  636. Hosek, Martin; Hofmeister, Christopher; Krupyshev, Alexander, Substrate processing apparatus.
  637. Yamazaki, Shunpei; Ohtani, Hisashi; Shimada, Hiroyuki; Sakama, Mitsunori; Abe, Hisashi; Teramoto, Satoshi, Substrate processing apparatus and a manufacturing method of a thin film semiconductor device.
  638. Shunpei Yamazaki JP; Hisashi Ohtani JP; Hiroyuki Shimada JP; Mitsunori Sakama JP; Hisashi Abe JP; Satoshi Teramoto JP, Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device.
  639. Itatani, Hideharu; Yanai, Hidehiro; Horii, Sadayoshi; Sano, Atsushi, Substrate processing apparatus and method of manufacturing semiconductor device.
  640. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  641. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  642. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  643. Kumar, Kiran; Wang, Zhihai; Rios, Rudy; Catabay, Wilbur G.; Schinella, Richard D., Substrate processing system.
  644. Semyon Sherstinsky ; Calvin Augason ; Leonel A. Zuniga ; Jun Zhao ; Talex Sajoto ; Leonid Selyutin ; Joseph Yudovsky ; Maitreyee Mahajani ; Steve G. Ghanayem ; Tai T. Ngo ; Arnold Kholodenk, Substrate support member for a processing chamber.
  645. White, John M.; Turner, Norman L.; Tiner, Robin L.; Keller, Ernst; Kurita, Shinichi; Blonigan, Wendell T.; Berkstresser, David E., Substrate transfer shuttle.
  646. White, John M.; Turner, Norman L.; Tiner, Robin L.; Keller, Ernst; Kurita, Shinichi; Blonigan, Wendell T.; Berkstresser, David E., Substrate transfer shuttle.
  647. Blonigan Wendell T. ; White John M., Substrate transfer shuttle having a magnetic drive.
  648. Blonigan, Wendell T.; White, John M., Substrate transfer shuttle having a magnetic drive.
  649. Wendell T. Blonigan ; John M. White, Substrate transfer shuttle having a magnetic drive.
  650. Raaijmakers Ivo ; Jacobs Loren ; Halpin Mike ; Alexander Jim ; O'Neill Ken ; Goodwin Dennis Lee, Substrate transfer system for semiconductor processing equipment.
  651. Raaijmakers Ivo ; Jacobs Loren R. ; Halpin Michael W. ; Alexander James A. ; O'Neill Ken ; Goodwin Dennis L., Substrate transfer system for semiconductor processing equipment.
  652. Hofmeister Christopher A., Substrate transport apparatus with angled arms.
  653. Beaulieu, David R., Substrate transport apparatus with coaxial drive shafts and dual independent scara arms.
  654. Muka Richard S. ; Davis ; Jr. James C. ; Hofmeister Christopher A., Substrate transport apparatus with double substrate holders.
  655. Muka Richard S. ; Davis ; Jr. James C. ; Hofmeister Christopher A., Substrate transport apparatus with double substrate holders.
  656. Bernard, William J.; Poor, Ralph P.; Barbee, Garry W.; Gottschalk, John W., Surface treatment of metallic articles in an atmospheric furnace.
  657. Xi, Ming; Yang, Michael; Zhang, Hui, System and method for forming an integrated barrier layer.
  658. Voutsas, Apostolos; Nakata, Yukihiko, System and method for forming base coat and thin film layers by sequential sputter depositing.
  659. Gale,Glenn; Hillman,Joseph T.; Jacobson,Gunilla; Palmer,Bentley, System and method for processing a substrate using supercritical carbon dioxide processing.
  660. Wilson, Gregory J.; McHugh, Paul R.; Hanson, Kyle M., System for electrochemically processing a workpiece.
  661. Leedy, Glenn, System for probing, testing, burn-in, repairing and programming of integrated circuits.
  662. Maher Joseph A. ; Vowles E. John ; Napoli Joseph D. ; Zafiropoulo Arthur W. ; Miller Mark W., System for processing substrates.
  663. Aggarwal, Ravinder; Kusbel, Jim; Alexander, Jim, System for the improved handling of wafers within a process tool.
  664. Chan, Chung, System for the plasma treatment of large area substrates.
  665. Chung Chan, System for the plasma treatment of large area substrates.
  666. Frankel Jonathan, Systems and methods for controlling the temperature of a vapor deposition apparatus.
  667. Sivaramakrishnan Visweswaren ; Fong Gary, Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process.
  668. Cook, Robert C.; Brors, Daniel L., Thermal gradient enhanced CVD deposition at low pressure.
  669. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  670. Kim, Se Yong; Kim, Woo Chan; Jung, Dong Rak, Thin film deposition apparatus and method of maintaining the same.
  671. Kim, Ki Jong; Kim, Dae Youn, Thin film deposition apparatus and method thereof.
  672. Yamazaki, Shunpei; Hamatani, Toshiji; Fukada, Takeshi, Thin film semiconductor device and method of manufacturing the same.
  673. Takayama, Toru; Yamazaki, Shunpei; Akimoto, Kengo, Thin film semiconductor device having silicon nitride film.
  674. Yamazaki, Shunpei; Takemura, Yasuhiko; Mase, Akira; Uochi, Hideki, Thin film transistors having anodized metal film between the gate wiring and drain wiring.
  675. Strong, Fabian; Bhatnagar, Yashraj; Dixit, Abhishek Anant; Martin, Todd; Rozbicki, Robert T., Thin-film devices and fabrication.
  676. Zhang,Hongyong; Yamazaki,Shunpei, Thin-film transistor.
  677. Tanguay, Michael J., Throughput enhancement for single wafer reactor.
  678. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  679. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  680. Nakadai, Yasuo; Kim, Poong; Chai, Weiping; Kodera, Masahiro, Titanium target assembly for sputtering and method for preparing the same.
  681. Mizukami Masami (Yamanashi JPX) Osada Hatsuo (Yamanashi JPX), Transfer apparatus.
  682. Saeki Hiroaki,JPX ; Asakawa Teruo,JPX, Transfer arm apparatus and semiconductor processing system using the same.
  683. Tepman Avi, Transfer chamber.
  684. Schaller, Jason, Transfer chamber with vacuum extension for shutter disks.
  685. Ogawa Hironori,JPX ; Kamitani Masashi,JPX, Transfer robot.
  686. Tsuji Kazuhiko,JPX ; Murakami Yasuaki,JPX ; Takinami Eisaku,JPX ; Suzuki Masaharu,JPX, Transferring apparatus and robot arm.
  687. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Transferring device for a vacuum processing apparatus and operating method therefor.
  688. Gardner Mark I. ; Hause Fred N., Transistor fabrication process employing a common chamber for gate oxide and gate conductor formation.
  689. Gardner Mark I. ; Hause Fred N., Transistor fabrication process employing a common chamber for gate oxide and gate conductor formation.
  690. Jacobson,Gunilla; Yellowaga,Deborah, Treatment of a dielectric layer using supercritical CO.
  691. Ngan Kenny King-Tai ; Mosely Roderick C., Treatment of a titanium nitride layer to improve resistance to elevated temperatures.
  692. Kevwitch, Robert, Treatment of substrate using functionalizing agent in supercritical carbon dioxide.
  693. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Tungsten nitride atomic layer deposition processes.
  694. Wang,Shulin; Kroemer,Ulrich; Luo,Lee; Chen,Aihua; Li,Ming, Tungsten nitride atomic layer deposition processes.
  695. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  696. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  697. Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece.
  698. Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece.
  699. Lowrance Robert B., Two-axis magnetically coupled robot.
  700. Lowrance Robert B., Two-axis magnetically coupled robot.
  701. Zheng, Yi; Nemani, Srinivas D.; Xia, Li-Qun, Two-layer film for next generation damascene barrier application with good oxidation resistance.
  702. Klein,Martin P.; Keigler,Arthur; Felsenthal,David, Ultra-thin wafer handling system.
  703. Tseng Meng Chu ; Chang Mei ; Srinivas Ramanujapuram A. ; Rinnen Klaus-Dieter ; Eizenberg Moshe,ILX ; Telford Susan,DEX, Utilization of SiH.sub.4 soak and purge in deposition processes.
  704. Tseng Meng Chu ; Chang Mei ; Srinivas Ramanujapuram A. ; Rinnen Klaus-Dieter ; Eizenberg Moshe,ILX ; Telford Susan,DEX, Utilization of SiH4 soak and purge in deposition processes.
  705. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  706. Sheydayi,Alexei, Vacuum chuck utilizing sintered material and method of providing thereof.
  707. Michael Hans AT; Martin Zaech LI, Vacuum coating installation and coupling device.
  708. Baecker James J. ; Becker D. Scott ; Foline Michael J. ; Maciej Todd K., Vacuum compatible water vapor and rinse process module.
  709. Hiramoto, Noboru, Vacuum heat-treatment apparatus.
  710. Schertler,Roman, Vacuum process apparatus.
  711. Fukasawa Yoshio (Kofu JPX) Hosoda Shozo (Yamanashi-ken JPX) Nakagome Tatsuya (Yamanashi-ken JPX) Tozawa Takashi (Yamanashi-ken JPX) Suzuki Koji (Yamanashi-ken JPX) Ishihara Yasumasa (Kofu JPX) Aoyagi, Vacuum process apparatus and vacuum processing method.
  712. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing and operating method.
  713. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing and operating method.
  714. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing and operating method using a vacuum chamber.
  715. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing and operating method with wafers, substrates and/or semiconductors.
  716. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus.
  717. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus.
  718. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  719. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  720. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  721. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  722. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  723. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  724. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  725. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  726. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  727. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  728. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  729. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  730. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  731. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  732. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  733. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  734. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  735. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  736. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  737. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  738. Kato Shigekazu,JPX ; Nishihata Kouji,JPX ; Tsubone Tsunehiko,JPX ; Itou Atsushi,JPX, Vacuum processing apparatus and operating method therefor.
  739. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  740. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  741. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  742. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  743. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  744. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing apparatus and operating method therefor.
  745. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing apparatus and operating method therefor.
  746. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  747. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  748. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  749. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  750. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  751. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsuenhiko; Itou,Atsushi, Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors.
  752. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors.
  753. Soraoka, Minoru; Yoshioka, Ken; Kawasaki, Yoshinao, Vacuum processing apparatus and semiconductor manufacturing line using the same.
  754. Soraoka, Minoru; Yoshioka, Ken; Kawasaki, Yoshinao, Vacuum processing apparatus and semiconductor manufacturing line using the same.
  755. Soraoka, Minoru; Yoshioka, Ken; Kawasaki, Yoshinao, Vacuum processing apparatus and semiconductor manufacturing line using the same.
  756. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing equipment configuration.
  757. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing equipment configuration.
  758. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsuenhiko; Itou,Atsushi, Vacuum processing operating method with wafers, substrates and/or semiconductors.
  759. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing operating method with wafers, substrates and/or semiconductors.
  760. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing operating method with wafers, substrates and/or semiconductors.
  761. Kato Shigekazu (Kudamatsu JPX) Tamura Naoyuki (Kudamatsu JPX) Nishihata Kouji (Tokuyama JPX) Tsubone Tsunehiko (Hikari JPX) Itou Atsushi (Kudamatsu JPX) Nakata Kenji (Hikari JPX) Ogawa Yoshifumi (Hik, Vacuum processing system.
  762. Turner, Norman L.; White, John M.; D'Entremont, Alan, Vacuum processing system having improved substrate heating and cooling.
  763. Ozawa, Jun; Hirose, Jun; Hirose, Eiji; Koizumi, Hiroshi, Vacuum treatment device.
  764. Lu, Siqing; Chang, Yu; Sun, Dongxi; Dang, Vinh; Yang, Michael X.; Chang, Anzhong; Nguyen, Anh N.; Xi, Ming, Valve control system for atomic layer deposition chamber.
  765. Lu,Siqing; Chang,Yu; Sun,Dongxi; Dang,Vinh; Yang,Michael X.; Chang,Anzhong (Andrew); Nguyen,Anh N.; Xi,Ming, Valve control system for atomic layer deposition chamber.
  766. Kim, Sam Hyungsam; Lee, Jae-Chull; Sterling, William N.; Brown, Paul, Valve door with ball coupling.
  767. Lee, Sang-Hyeob; Gelatos, Avgerinos V.; Wu, Kai; Khandelwal, Amit; Marshall, Ross; Renuart, Emily; Lai, Wing-Cheong Gilbert; Lin, Jing, Vapor deposition of tungsten materials.
  768. White, Carl L.; Shero, Eric, Vapor flow control apparatus for atomic layer deposition.
  769. Barden, John; Powell, Rick C., Vapor transport deposition method and system for material co-deposition.
  770. Barden, John; Powell, Rick C., Vapor transport deposition method and system for material co-deposition.
  771. Tepman, Avi; Lei, Lawrence Chung-lai, Variable flow deposition apparatus and method in semiconductor substrate processing.
  772. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  773. Ngan Kenny King-Tai ; Nulman Jaim, Wafer clean sputtering process.
  774. Beginski,David A.; Crabb,Richard; Donald,James, Wafer edge with light sensor.
  775. Lee, Jong-myeong; Kim, Byung-hee; Lee, Myoung bum; Yun, Ju-young; Choi, Gil-heyun, Wafer processing apparatus and wafer processing method using the same.
  776. Lee, Jong-myeong; Kim, Byung-hee; Lee, Myoung bum; Yun, Ju-young; Choi, Gil-heyun, Wafer processing apparatus and wafer processing method using the same.
  777. Ohashi, Tomohiro; Makino, Akitaka; Kitada, Hiroho; Kihara, Hideki, Wafer processing based on sensor detection and system learning.
  778. Dennis L. Goodwin ; Eric R. Wood ; Ivo Raaijmakers, Wafer transfer arm stop.
  779. Jim Tobin, Wafer transfer station for a chemical mechanical polisher.
  780. Somekh Sasson (Los Altos Hills CA) Fairbairn Kevin (Saratoga CA) Kolstoe Gary M. (Fremont CA) White Gregory W. (San Carlos CA) Faraco ; Jr. W. George (Saratoga CA), Wafer tray and ceramic blade for semiconductor processing apparatus.
  781. Somekh Sasson (Los Altos Hills CA) Fairbairn Kevin (Saratoga CA) Kolstoe Gary M. (Fremont CA) White Gregory W. (San Carlos CA) Faraco ; Jr. W. George (Saratoga CA), Wafer tray and ceramic blade for semiconductor processing apparatus.
  782. Adachi Hideki,JPX ; Izumi Akira,JPX, Wet/dry substrate processing apparatus.
  783. Wilson,Gregory J.; McHugh,Paul R.; Hanson,Kyle M., Workpiece processor having processing chamber with improved processing fluid flow.
  784. Hishiya, Katsuyuki; Takahashi, Kiichi; Nakamura, Haruoki, Workpiece transfer mechanism, workpiece transfer method and workpiece processing system.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로