$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Staged-vacuum wafer processing system and method 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-013/08
출원번호 US-0685976 (1991-04-15)
발명자 / 주소
  • Tepman Avi (Cupertino CA) Grunes Howard (Santa Cruz CA) Somekh Sasson (Los Altos Hills CA) Maydan Dan (Los Altos Hills CA)
출원인 / 주소
  • Applied Materials, Inc. (Santa Clara CA 02)
인용정보 피인용 횟수 : 554  인용 특허 : 0

초록

A processing system for workpieces such as semiconductor wafers is disclosed which incorporates multiple, isolated vacuum stages between the cassette load lock station and the main vacuum processing chambers. A vacuum gradient is applied between the cassette load lock and the main processing chamber

대표청구항

A staged vacuum-isolation processing system comprising: a multiplicity of isolatable communicating regions including at least a vacuum load lock chamber; a vacuum workpiece-processing chamber and an intermediate workpiece transport region; and vacuum means communicating with the isolatable regions f

이 특허를 인용한 특허 (554)

  1. Gopalraja Praburam ; Narasimhan Murali, Adjustment of deposition uniformity in an inductively coupled plasma source.
  2. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  3. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  4. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  5. Liu, Feng Q.; Chang, Mei; Thompson, David, Alcohol assisted ALD film deposition.
  6. Satitpunwaycha Peter ; Yao Gongda ; Ngan Kenny King-Tai ; Xu Zheng, Aluminum hole filling method using ionized metal adhesion layer.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  9. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  10. Arne W. Ballantine ; Peter A. Emmi ; Walter J. Frey ; Michael J. Gambero ; Neena Garg ; Byeongju Park ; Donald L. Wilson, Apparatus and method for controlling wafer environment between thermal clean and thermal processing.
  11. Xu Zheng ; Hofmann Ralf, Apparatus and method for enhancing uniformity of a metal film formed on a substrate with the aid of an inductively coupl.
  12. Park Jun Sig,KRX ; Kim Young Sun,KRX ; Kim Jung Ki,KRX, Apparatus and method for low pressure chemical vapor deposition using multiple chambers and vacuum pumps.
  13. Park Jun Sig,KRX ; Kim Young Sun,KRX ; Kim Jung Ki,KRX, Apparatus and method for low pressure chemical vapor deposition using multiple chambers and vacuum pumps.
  14. Chen, Chen-An; Gelatos, Avgerinos; Yang, Michael X.; Xi, Ming; Hytros, Mark M., Apparatus and method for plasma assisted deposition.
  15. Chen,Chen An; Gelatos,Avgerinos; Yang,Michael X.; Xi,Ming; Hytros,Mark M., Apparatus and method for plasma assisted deposition.
  16. Kato Susumu,JPX ; Ozawa Masahito,JPX ; Muraoka Sunao,JPX, Apparatus and method for regulating pressure in two chambers.
  17. Kim, Sam H.; Hosokawa, Akihiro; Suh, Dong Choon, Apparatus and method for uniform substrate heating and contaminate collection.
  18. Yokomizo,Kenji, Apparatus and method of securing a workpiece during high-pressure processing.
  19. Klawuhn, Erich R.; Rozbicki, Robert; Dixit, Girish A., Apparatus and methods for deposition and/or etch selectivity.
  20. Yudovsky, Joseph; Gangakhedkar, Kaushal, Apparatus and methods for wafer chucking on a susceptor for ALD.
  21. Schauer, Ronald Vern; Lappen, Alan Rick, Apparatus for alignment of automated workpiece handling systems.
  22. Xu Zheng ; Forster John ; Yao Tse-Yong, Apparatus for filling apertures in a film layer on a semiconductor substrate.
  23. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Apparatus for integration of barrier layer and seed layer.
  24. Yamazaki,Shunpei; Takenouchi,Akira; Takemura,Yasuhiko, Apparatus for processing a semiconductor.
  25. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Apparatus for supercritical processing of a workpiece.
  26. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Apparatus for supercritical processing of multiple workpieces.
  27. Stevens, Craig L.; Levy, Karl B., Architecture for high throughput semiconductor processing applications.
  28. Hong Minghwei ; Kwo Jueinai Raynien ; Mannaerts Joseph Petrus ; Passlack Matthias ; Ren Fan ; Zydzik George John, Article comprising a gallium layer on a GaAs-based semiconductor, and method of making the article.
  29. Mark I. Gardner ; H. Jim Fulford, Asymmetrical transistor having a barrier-incorporated gate oxide and a graded implant only in the drain-side junction area.
  30. Kadosh Daniel ; Gardner Mark I. ; Duane Michael ; Cheek Jon D. ; Hause Fred N. ; Dawson Robert ; Moore Brad T., Asymmetrical transistor structure.
  31. Chin,Barry L.; Mak,Alfred W.; Lei,Lawrence Chung Lai; Xi,Ming; Chung,Hua; Lai,Ken Kaung; Byun,Jeong Soo, Atomic layer deposition apparatus.
  32. Chung, Hua; Wang, Rongjun; Maity, Nirmalya, Atomic layer deposition of barrier materials.
  33. Thompson, David, Atomic layer deposition of films comprising Si(C)N using hydrazine, azide and/or silyl amine derivatives.
  34. Nguyen, Victor; Li, Ning; Balseanu, Mihaela; Xia, Li-Qun; Saly, Mark; Thompson, David, Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors.
  35. Weidman, Timothy W.; Michaelson, Timothy; Ma, Paul F.; Deaton, Paul, Atomic layer deposition of hafnium or zirconium alloy films.
  36. Pradhan, Anshu A.; Rozbicki, Robert, Atomic layer profiling of diffusion barrier and metal seed layers.
  37. Shri Singhvi ; Suraj Rengarajan ; Peijun Ding ; Gongda Yao, Barrier applications for aluminum planarization.
  38. Chen, Ling; Marcadal, Christophe, Barrier layer structure for copper metallization and method of forming the structure.
  39. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  40. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  41. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  42. Wood, Keith Freeman; Rodnick, Matthew Jonathon, Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates.
  43. Inagawa,Makoto; Hosokawa,Akihiro, Chamber for uniform substrate heating.
  44. Shang, Quanyuan; Kardokus, Janine; Hosokawa, Akihiro, Chamber for uniform substrate heating.
  45. Shang,Quanyuan; Kardokus,Janine; Hosokawa,Akihiro, Chamber for uniform substrate heating.
  46. Lubomirsky, Dmitry, Chamber with flow-through source.
  47. Lubomirsky, Dmitry, Chamber with flow-through source.
  48. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  49. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  50. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  51. Lu, Jiang; Ha, Hyoung-Chan; Ma, Paul F.; Ganguli, Seshadri; Aubuchon, Joseph F.; Yu, Sang-ho; Narasimhan, Murali K., Cobalt deposition on barrier surfaces.
  52. Lu, Jiang; Ha, Hyoung-Chan; Ma, Paul; Ganguli, Seshadri; Aubuchon, Joseph F.; Yu, Sang Ho; Narasimhan, Murali K., Cobalt deposition on barrier surfaces.
  53. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  54. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds.
  55. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  56. Shaviv, Roey; Gopinath, Sanjay; Holverson, Peter; Pradhan, Anshu A., Conformal films on semiconductor substrates.
  57. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  58. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  59. Kim, Nam-Hun; Chinn, Jeffrey D., Construction of built-up structures on the surface of patterned masking used for polysilicon etch.
  60. Lu, Xinliang; Kao, Chien Teh; Lai, Chiukin Steve; Chang, Mei, Contact clean by remote plasma and repair of silicide surface.
  61. Lu, Xinliang; Kao, Chien-Teh; Lai, Chiukin Steve; Chang, Mei, Contact clean by remote plasma and repair of silicide surface.
  62. Lu, Xinliang; Kao, Chien-Teh; Lai, Chiukin Steve; Chang, Mei, Contact clean by remote plasma and repair of silicide surface.
  63. Jones,William Dale, Control of fluid flow in the processing of an object with a fluid.
  64. Ye, Yan; Zhao, Allen; Deng, Xiancan; Ma, Diana Xiaobing, Control of patterned etching in semiconductor features.
  65. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Conveying system for a vacuum processing apparatus.
  66. Ye Yan ; Zhao Allen ; Deng Xiancan ; Ma Diana Xiaobing, Copper etch using HCI and HBr chemistry.
  67. Yan Ye ; Allen Zhao ; Xiancan Deng ; Diana Xiaobing Ma, Copper etch using HCl and HBR chemistry.
  68. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  69. Lee,Jae Chull; Berkstresser,David, Curved slit valve door with flexible coupling.
  70. Yang, Michael X.; Xi, Ming, Cyclical deposition of a variable content titanium silicon nitride layer.
  71. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  72. Wang,Shulin; Kroemer,Ulrich; Luo,Lee; Chen,Aihua; Li,Ming, Cyclical deposition of tungsten nitride for metal oxide gate electrode.
  73. Chiang, Tony; Yao, Gongda; Ding, Peijun; Chen, Fusen E.; Chin, Barry L.; Kohara, Gene Y.; Xu, Zheng; Zhang, Hong, Damage-free sculptured coating deposition.
  74. Lee, Jae-Chull; Kurita, Shinichi; White, John M.; Anwar, Suhail, Decoupled chamber body.
  75. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  76. Yoon, Ki Hwan; Cha, Yonghwa Chris; Yu, Sang Ho; Ahmad, Hafiz Farooq; Wee, Ho Sun, Deposition methods for barrier and tungsten materials.
  77. Yoon,Ki Hwan; Cha,Yonghwa Chris; Yu,Sang Ho; Ahmad,Hafiz Farooq; Wee,Ho Sun, Deposition methods for barrier and tungsten materials.
  78. Gandikota, Srinivas; Lu, Xinliang; Chen, Shih Chung; Tang, Wei; Zhou, Jing; Ganguli, Seshadri; Thompson, David; Anthis, Jeffrey W.; Noori, Atif; Gungor, Faruk; Wu, Dien-Yeh; Chang, Mei; Fu, Xinyu; Lei, Yu, Deposition of N-metal films comprising aluminum alloys.
  79. Law, Kam; Shang, Quanyuan; Harshbarger, William Reid; Maydan, Dan, Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications.
  80. Law,Kam; Shang,Quanyuan; Harshbarger,William Reid; Maydan,Dan, Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications.
  81. Yoon, Hyungsuk A.; Fang, Hongbin; Yang, Michael X., Deposition of tungsten films.
  82. Wyka Gary ; Carrera Jaime ; Hoskins Van, Detection system for substrate clamp.
  83. Wyka Gary ; Carrera Jaime ; Hoskins Van, Detection system for substrate clamp.
  84. Ma, Shawming, Device for monitoring substrate charging and method of fabricating same.
  85. Gardner Mark I. ; Gilmer Mark C., Diamond etch stop rendered conductive by a gas cluster ion beam implant of titanium.
  86. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  87. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  88. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  89. Kurita, Shinichi; Blonigan, Wendell T., Double dual slot load lock chamber.
  90. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  91. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  92. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  93. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  94. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  95. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  96. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  97. Avi Tepman ; Donald J. K. Olgado ; Allen L. D'Ambra, Dual buffer chamber cluster tool for semiconductor wafer processing.
  98. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  99. Nagashima, Makoto, Dual hexagonal shaped plasma source.
  100. Lei, Lawrence C.; Kori, Moris, Dual robot processing system.
  101. Kurita, Shinichi; Blonigan, Wendell T.; Hosokawa, Akihiro, Dual substrate loadlock process equipment.
  102. Kurita, Shinichi; Blonigan, Wendell T.; Hosokawa, Akihiro, Dual substrate loadlock process equipment.
  103. Kraus, Joseph Arthur; Strassner, James David, Dual wafer load lock.
  104. Ge, Zhenbin; Kao, Chien-Teh; Huston, Joel M.; Chang, Mei, Dual-direction chemical delivery system for ALD/CVD chambers.
  105. Ge, Zhenbin; Kao, Chien-Teh; Huston, Joel M.; Chang, Mei, Dual-direction chemical delivery system for ALD/CVD chambers.
  106. Lam, Wei Chak Joseph, Efficient layout and design of production facility.
  107. Lam, Wei Chak Joseph, Efficient layout and design of production facility.
  108. Lam, Wei Chak Joseph, Efficient layout and design of production facility.
  109. Lam, Wei Chak Joseph, Efficient layout and design of production facility.
  110. White, John M.; Verplancken, Donald; Kurita, Shinichi, Electronic device manufacturing chamber method.
  111. Forster, John C.; Yudovsky, Joseph; Kwong, Garry K.; Ngo, Tai T.; Griffin, Kevin; Collins, Kenneth S.; Liu, Ren, Elongated capacitively coupled plasma source for high temperature low pressure environments.
  112. Forster, John C.; Yudovsky, Joseph; Kwong, Garry K.; Ngo, Tai T.; Griffin, Kevin; Collins, Kenneth S.; Liu, Ren, Elongated capacitively coupled plasma source for high temperature low pressure environments.
  113. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  114. Chen,Ling; Chang,Mei, Enhancement of copper line reliability using thin ALD tan film to cap the copper line.
  115. Hazel, Brian T; DePalma, Joseph A; Serra, Jessica L; Thayer, Henry H; Blankenship, Donn R; Bochiechio, Mario P; Zajchowski, Paul H, Equipment for plasma spray with liquid injection.
  116. Kaveh, Farrokh, Equipment front end module.
  117. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  118. Yan Ye ; Diana Xiaobing Ma ; Gerald Yin, Etched patterned copper features free from etch process residue.
  119. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  120. Xu Zheng ; Forster John ; Yao Tse-Yong ; Nulman Jaim ; Chen Fusen, Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer.
  121. Lei, Yu; Gandikota, Srinivas; Ganguli, Seshadri; Zheng, Bo; Jakkaraju, Rajkumar; Salinas, Martin Jeff; Schmiege, Benjamin, Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition.
  122. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  123. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  124. Cho, Yonah; Kim, Yihwan, Formation and treatment of epitaxial layer containing silicon and carbon.
  125. Kim, Yihwan; Samoilov, Arkadii V., Formation and treatment of epitaxial layer containing silicon and carbon.
  126. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  127. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  128. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  129. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  130. Seutter,Sean M.; Yang,Michael X.; Xi,Ming, Formation of a tantalum-nitride layer.
  131. Byun, Jeong Soo; Mak, Alfred, Formation of boride barrier layers using chemisorption techniques.
  132. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  133. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  134. Byun,Jeong Soo; Mak,Alfred, Formation of boride barrier layers using chemisorption techniques.
  135. Ye, Zhiyuan; Lam, Andrew M.; Kim, Yihwan, Formation of epitaxial layer containing silicon and carbon.
  136. Kim, Yihwan, Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon.
  137. Tepman Avi, Front end vacuum processing environment.
  138. White, Carl L.; Shero, Eric; Reed, Joe, Gap maintenance for opening to process chamber.
  139. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  140. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  141. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus for atomic layer deposition.
  142. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman; Chang, Mei, Gas delivery apparatus for atomic layer deposition.
  143. Yudovsky, Joseph, Gas distribution system for cyclical layer deposition.
  144. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  145. Hung, Steven C. H.; Miner, Gary E., Gate electrode structures.
  146. Sheydayi,Alexei; Sutton,Thomas, Gate valve for plus-atmospheric pressure semiconductor process vessels.
  147. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  148. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  149. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  150. Gardner Mark I. ; Hause Frederick N. ; May Charles E., High dielectric constant gate dielectric with an overlying tantalum gate conductor formed on a sidewall surface of a sacrificial structure.
  151. Yao Tse-Yong ; Chin Barry, High pressure copper fill at low temperature.
  152. Jones, William D., High pressure fourier transform infrared cell.
  153. Biberger, Maximilian A.; Layman, Frederick Paul; Sutton, Thomas Robert, High pressure processing chamber for semiconductor substrate.
  154. Biberger,Maximilian A.; Layman,Frederick Paul; Sutton,Thomas Robert, High pressure processing chamber for semiconductor substrate.
  155. Provencher, Timothy J.; Hickson, Craig B., High temperature ALD inlet manifold.
  156. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  157. Collins, Joshua; Narasimhan, Murali K.; Liu, Jingjing; Lee, Sang-Hyeob; Wu, Kai; Gelatos, Avgerinos V., High temperature tungsten metallization process.
  158. Collins, Joshua; Narasimhan, Murali K.; Liu, Jingjing; Lee, Sang-Hyeob; Wu, Kai; Gelatos, Avgerinos V., High temperature tungsten metallization process.
  159. Stevens, Craig Lyle, High throughput architecture for semiconductor processing.
  160. Jones,William Dale, High-pressure processing chamber for a semiconductor wafer.
  161. Jones,William Dale, High-pressure processing chamber for a semiconductor wafer.
  162. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  163. Gardner Mark I. ; Gilmer Mark C., Incorporating barrier atoms into a gate dielectric using gas cluster ion beam implantation.
  164. Gilmer Mark C. ; Gardner Mark I., Incorporating silicon atoms into a metal oxide gate dielectric using gas cluster ion beam implantation.
  165. O'Donnell, Robert J., Insitu post etch process to remove remaining photoresist and residual sidewall passivation.
  166. Nakasuji, Mamoru; Noji, Nobuharu; Satake, Tohru; Hatakeyama, Masahiro; Kimba, Toshifumi; Sobukawa, Hirosi; Yoshikawa, Shoji; Murakami, Takeshi; Watanabe, Kenji; Karimata, Tsutomu; Oowada, Shin; Saito, Mutsumi; Yamazaki, Yuichiro; Nagai, Takamitsu; Nagahama, Ichirota, Inspection system by charged particle beam and method of manufacturing devices using the system.
  167. Nakasuji, Mamoru; Noji, Nobuharu; Satake, Tohru; Hatakeyama, Masahiro; Kimba, Toshifumi; Sobukawa, Hirosi; Yoshikawa, Shoji; Murakami, Takeshi; Watanabe, Kenji; Karimata, Tsutomu; Oowada, Shin; Saito, Mutsumi; Yamazaki, Yuichiro; Nagai, Takamitsu; Nagahama, Ichirota, Inspection system by charged particle beam and method of manufacturing devices using the system.
  168. Nakasuji, Mamoru; Noji, Nobuharu; Satake, Tohru; Hatakeyama, Masahiro; Kimba, Toshifumi; Sobukawa, Hirosi; Yoshikawa, Shoji; Murakami, Takeshi; Watanabe, Kenji; Karimata, Tsutomu; Oowada, Shin; Saito, Mutsumi; Yamazaki, Yuichiro; Nagai, Takamitsu; Nagahama, Ichirota, Inspection system by charged particle beam and method of manufacturing devices using the system.
  169. Nakasuji, Mamoru; Noji, Nobuharu; Satake, Tohru; Hatakeyama, Masahiro; Kimba, Toshifumi; Sobukawa, Hirosi; Yoshikawa, Shoji; Murakami, Takeshi; Watanabe, Kenji; Karimata, Tsutomu; Oowada, Shin; Saito, Mutsumi; Yamazaki, Yuichiro; Nagai, Takamitsu; Nagahama, Ichirota, Inspection system by charged particle beam and method of manufacturing devices using the system.
  170. Nakasuji,Mamoru; Noji,Nobuharu; Satake,Tohru; Hatakeyama,Masahiro; Kimba,Toshifumi; Sobukawa,Hiroshi; Yoshikawa,Shoji; Murakami,Takeshi; Watanabe,Kenji; Karimata,Tsutomu; Oowada,Shin; Saito,Mutsumi; Yamazaki,Yuichiro; Nagai,Takamitsu; Nagahama,Ichirota, Inspection system by charged particle beam and method of manufacturing devices using the system.
  171. Nakasuji,Mamoru; Noji,Nobuharu; Satake,Tohru; Hatakeyama,Masahiro; Kimba,Toshifumi; Sobukawa,Hirosi; Yoshikawa,Shoji; Murakami,Takeshi; Watanabe,Kenji; Karimata,Tsutomu; Oowada,Shin; Saito,Mutsumi; Y, Inspection system by charged particle beam and method of manufacturing devices using the system.
  172. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  173. Satitpunwaycha Peter ; Yao Gongda ; Ngan Kenny King-Tai ; Xu Zheng, Integrated PVD system for aluminum hole filling using ionized metal adhesion layer.
  174. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  175. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  176. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  177. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  178. Yudovsky, Joseph; Gangakhedkar, Kaushal, Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD.
  179. Chung,Hua; Maity,Nirmalya; Yu,Jick; Mosely,Roderick Craig; Chang,Mei, Integration of ALD tantalum nitride for copper metallization.
  180. Chung,Hua; Bekiaris,Nikolaos; Marcadal,Christophe; Chen,Ling, Integration of ALD/CVD barriers with porous low k materials.
  181. Chung, Hua; Chen, Ling; Yu, Jick; Chang, Mei, Integration of barrier layer and seed layer.
  182. Chung,Hua; Chen,Ling; Yu,Jick; Chang,Mei, Integration of barrier layer and seed layer.
  183. Yang, Michael X.; Itoh, Toshio; Xi, Ming, Integration of titanium and titanium nitride layers.
  184. Yang,Michael X.; Itoh,Toshio; Xi,Ming, Integration of titanium and titanium nitride layers.
  185. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  186. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  187. Kurita, Shinichi; Blonigan, Wendell T.; Tanase, Yoshiaki, Large area substrate transferring method for aligning with horizontal actuation of lever arm.
  188. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  189. Lam,Wei Chak Joseph, Layout of production facility.
  190. Nguyen, Anh N.; Yang, Michael X.; Xi, Ming; Chung, Hua; Chang, Anzhong; Yuan, Xiaoxiong; Lu, Siqing, Lid assembly for a processing system to facilitate sequential deposition techniques.
  191. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  192. Tzu, Gwo-Chuan; Umotoy, Salvador P., Lid assembly for a processing system to facilitate sequential deposition techniques.
  193. Kao, Chien Teh; Chou, Jing Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See Eng, Lid assembly for front end of line fabrication.
  194. Englhardt, Eric A.; Szudarski, Steve; Cornelius, Andrew Scott; Puri, Amitabh; Rice, Michael Robert; Hudgens, Jeffrey C.; Sansoni, Steven V.; Decottignies, Robert Irwin; Hruzek, Dean C.; Irwin, Peter; Merry, Nir, Linked vacuum processing tools and methods of using the same.
  195. Klomp,Albert Jan Hendrik; Hoogkamp,Jan Frederik; Vugts,Josephus Cornelius Johannes Antonius; Livesey,Robert Gordon; Franssen,Johannes Hendrikus Gertrudis, Lithographic projection assembly, load lock and method for transferring objects.
  196. Klomp, Albert Jan Hendrik; Hoogkamp, Jan Frederik; Vugts, Josephus Cornelius Johannes Antonius; Livesey, Robert Gordon; Franssen, Johannes Hendrikus Gertrudis, Load lock and method for transferring objects.
  197. Kurita,Shinichi; Blonigan,Wendell T.; Tanase,Yoshiaki, Load lock chamber for large area substrate processing system.
  198. Kurita,Shinichi; Blonigan,Wendell T., Load lock chamber having two dual slot regions.
  199. Lee, Jae-Chull; Anwar, Suhail; Kurita, Shinichi, Load lock chamber with decoupled slit valve door seal compartment.
  200. Thompson, David, Low temperature atomic layer deposition of films comprising SiCN or SiCON.
  201. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  202. Mosely, Roderick Craig; Zhang, Hong; Chen, Fusen; Guo, Ted; Chen, Liang-Yuh, Low temperature integrated metallization process and apparatus.
  203. Pratt, Thomas M.; McClelland, Scott Douglas; Stevens, Craig L.; Hopkins, Kerry, Magnetically coupled linear servo-drive mechanism.
  204. Yamazaki,Shunpei; Ohtani,Hisashi; Shimada,Hiroyuki; Sakama,Mitsunori; Abe,Hisashi; Teramoto,Satoshi, Manufacturing method of a thin film semiconductor device.
  205. Tanaka, Tetsuhiro, Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device.
  206. Velikov Plamen ; Cameron James A., Material handling device with overcenter arms and method for use thereof.
  207. Ding, Peijun; Xu, Zheng; Zhang, Hong; Tang, Xianmin; Gopalraja, Praburam; Rengarajan, Suraj; Forster, John C.; Fu, Jianming; Chiang, Tony; Yao, Gongda; Chen, Fusen E.; Chin, Barry L.; Kohara, Gene Y., Metal / metal nitride barrier layer for semiconductor device applications.
  208. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  209. Knapp, David; Thompson, David, Metal amide deposition precursors and their stabilization with an inert ampoule liner.
  210. Gardner Mark I. ; Gilmer Mark C., Metal silicide transistor gate spaced from a semiconductor substrate by a ceramic gate dielectric having a high dielectric constant.
  211. Adams Douglas R., Metered gas control in a substrate processing apparatus.
  212. Schauer,Ronald Vern; Lappen,Alan Rick; Tuttle,David L., Method and apparatus for aligning a cassette.
  213. Sheydayi,Alexei, Method and apparatus for clamping a substrate in a high pressure processing system.
  214. Rolfson J. Brett ; Hochhalter Elton, Method and apparatus for controlling rate of pressure change in a vacuum process chamber.
  215. Goshi,Gentaro, Method and apparatus for cooling motor bearings of a high pressure pump.
  216. Xi,Ming; Sinha,Ashok; Kori,Moris; Mak,Alfred W.; Lu,Xinliang; Lai,Ken Kaung; Littau,Karl A., Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer.
  217. Hunter, Reginald, Method and apparatus for embedded substrate and system status monitoring.
  218. Hunter, Reginald, Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques.
  219. Zheng Xu ; Gongda Yao, Method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma.
  220. Ahmed, Khaled Z.; Hung, Steven; Singh, Kaushal K.; Ramamurthy, Sundar, Method and apparatus for forming gate stack on Si, SiGe or Ge channels.
  221. Ken Ngan ; Simon Hui ; Seshadri Ramaswami, Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma.
  222. Ngan, Kenny King-Tai; Hui, Ying Yin; Ramaswami, Seshadri, Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma.
  223. Van Groos, Pieter Johannes Marius; Hoogkamp, Jan Frederik; Vugts, Josephus Cornelius Johannes Antonius; Livesey, Robert Gordon; Franssen, Johannes Hendrikus Gertrudis; Klomp, Albert Jan Hendrik; Vermeulen, Johannes Petrus Martinus Bernardus; Loopstra, Erik Roelof, Method and apparatus for maintaining a machine part.
  224. Reed, Joseph C; Shero, Eric J, Method and apparatus for minimizing contamination in semiconductor processing chamber.
  225. Hunter, Reginald, Method and apparatus for substrate surface inspection using spectral profiling techniques.
  226. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Method and apparatus for supercritical processing of multiple workpieces.
  227. Hunter, Reginald, Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques.
  228. Hunter,Reginald, Method and apparatus to provide for automated process verification and hierarchical substrate examination.
  229. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method and system for controlling the presence of fluorine in refractory metal layers.
  230. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method and system for controlling the presence of fluorine in refractory metal layers.
  231. Sinha,Ashok; Xi,Ming; Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua, Method and system for controlling the presence of fluorine in refractory metal layers.
  232. Parent,Wayne M.; Goshi,Gentaro, Method and system for cooling a pump.
  233. Parent,Wayne M., Method and system for determining flow conditions in a high pressure processing system.
  234. Parent, Wayne M.; Geshell, Dan R., Method and system for passivating a processing chamber.
  235. Hansen,Brandon; Lowe,Marie, Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid.
  236. Hongyong Zhang JP; Naoto Kusumoto JP, Method for annealing a semiconductor.
  237. Zhang Hongyong (Kanagawa JPX) Kusumoto Naoto (Kanagawa JPX), Method for annealing a semiconductor.
  238. Zhang Hongyong,JPX ; Kusumoto Naoto,JPX, Method for annealing a semiconductor.
  239. Zhang, Hongyong; Kusumoto, Naoto, Method for annealing a semiconductor.
  240. Pan, Heng; Rogers, Matthew Scott; Swenberg, Johanes F.; Olsen, Christopher S.; Liu, Wei; Chu, David; Bevan, Malcom J., Method for conformal treatment of dielectric films using inductively coupled plasma.
  241. Yamazaki,Shunpei; Zhang,Hongyong; Kusumoto,Naoto; Takemura,Yasuhiko, Method for crystallizing semiconductor material.
  242. Shunpei Yamazaki JP; Hongyong Zhang JP; Naoto Kusumoto JP; Yasuhiko Takemura JP, Method for crystallizing semiconductor material without exposing it to air.
  243. Yamazaki, Shunpei; Zhang, Hongyong; Kusumoto, Naoto; Takemura, Yasuhiko, Method for crystallizing semiconductor material without exposing it to air.
  244. Chiang, Tony; Yao, Gongda; Ding, Peijun; Chen, Fusen E.; Chin, Barry L.; Kohara, Gene Y.; Xu, Zheng; Zhang, Hong, Method for depositing a diffusion barrier layer and a metal conductive layer.
  245. Srinivas Gandikota ; Dennis Cong ; Liang Chen ; Sesh Ramaswami ; Daniel Carl, Method for enhancing the adhesion of copper deposited by chemical vapor deposition.
  246. Ionov Pavel ; Kim Sung Ho ; Li Dean,TWX ; Yan Chun ; Wang James Chang, Method for etching silicon oxynitride and dielectric antireflection coatings.
  247. Ionov, Pavel; Kim, Sung Ho; Li, Dean; Yan, Chun; Wang, James Chang, Method for etching silicon oxynitride and dielectric antireflection coatings.
  248. Ionov Pavel ; Kim Sung Ho ; Li Dean,TWX, Method for etching silicon oxynitride and inorganic antireflection coatings.
  249. Won, Seok-jun; Park, Soon-yeon; Yoo, Cha-young, Method for fabricating semiconductor device having ruthenium layer and equipment for fabricating the same.
  250. Sundarrajan Arvind ; Saigal Dinesh, Method for forming a multilayered aluminum-comprising structure on a substrate.
  251. Zhang, Honyong; Kusumoto, Naoto, Method for forming a semiconductor.
  252. Zhang, Honyong; Kusumoto, Naoto, Method for forming a semiconductor.
  253. Zhang,Hongyong; Kusumoto,Naoto, Method for forming a semiconductor.
  254. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua, Method for forming tungsten materials during vapor deposition processes.
  255. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Method for forming tungsten materials during vapor deposition processes.
  256. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  257. Kori,Moris; Mak,Alfred W.; Byun,Jeong Soo; Lei,Lawrence Chung Lai; Chung,Hua; Sinha,Ashok; Xi,Ming, Method for forming tungsten materials during vapor deposition processes.
  258. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for front end of line fabrication.
  259. Kao,Chien Teh; Chou,Jing Pei (Connie); Lai,Chiukin (Steven); Umotoy,Sal; Huston,Joel M.; Trinh,Son; Chang,Mei; Yuan,Xiaoxiong (John); Chang,Yu; Lu,Xinliang; Wang,Wei W.; Phan,See Eng, Method for front end of line fabrication.
  260. Ye Yan ; Zhao Allen ; Hsieh Peter Chang-Lin ; Ma Diana Xiaobing, Method for high temperature etching of patterned layers using an organic mask stack.
  261. Xu Zheng ; Forster John ; Yao Tse-Yong, Method for low thermal budget metal filling and planarization of contacts vias and trenches.
  262. Ichijo, Mitsuhiro; Kuriki, Kazutaka; Yokoi, Tomokazu; Endo, Toshiya, Method for manufacturing microcrystalline semiconductor and thin film transistor.
  263. Reed, Joseph C.; Shero, Eric J., Method for minimizing contamination in semiconductor processing chamber.
  264. Yamazaki, Shunpei; Shimada, Hiroyuki; Takenouchi, Akira; Takemura, Yasuhiko, Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device.
  265. Yamazaki Shunpei,JPX ; Shimada Hiroyuki,JPX ; Takenouchi Akira,JPX ; Takemura Yasuhiko,JPX, Method for processing semiconductor device, apparatus for processing a semiconductor and apparatus for processing semiconductor device.
  266. Ken Yoshioka JP; Yoshimi Torii JP; Moriaki Fuyama JP; Tomohiro Okada JP; Saburou Kanai JP; Takehito Usui JP; Hitoshi Harata JP, Method for processing specimens.
  267. Kawamura,Kohei; Asano,Akira; Miyatani,Koutarou; Hillman,Joseph T.; Palmer,Bentley, Method for supercritical carbon dioxide processing of fluoro-carbon films.
  268. Biberger, Maximilian Albert; Layman, Frederick Paul; Sutton, Thomas Robert, Method for supercritical processing of multiple workpieces.
  269. Kurita,Shinichi; Blonigan,Wendell T., Method for transferring substrates in a load lock chamber.
  270. Tang, Xianmin; Gopalraja, Praburam; Rengarajan, Suraj; Forster, John C.; Fu, Jianming; Ding, Peijun, Method of depositing a TaN seed layer.
  271. Imran Hashim ; Hong-Mei Zhang ; John C. Forster, Method of depositing a copper seed layer which promotes improved feature surface coverage.
  272. Imran Hashim ; Hong-Mei Zhang ; John C. Forster, Method of depositing a copper seed layer which promotes improved feature surface coverage.
  273. Rozbicki, Robert T.; Danek, Michal; Klawuhn, Erich R., Method of depositing a diffusion barrier for copper interconnect applications.
  274. Rozbicki, Robert; Danek, Michal; Klawuhn, Erich, Method of depositing a diffusion barrier for copper interconnect applications.
  275. Rozbicki, Robert; Danek, Michal; Klawuhn, Erich, Method of depositing a diffusion barrier for copper interconnect applications.
  276. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  277. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low dielectric with organo silane.
  278. Chiang,Tony; Yao,Gongda; Ding,Peijun; Chen,Fusen E.; Chin,Barry L.; Kohara,Gene Y.; Xu,Zheng; Zhang,Hong, Method of depositing a metal seed layer on semiconductor substrates.
  279. Chiang,Tony; Yao,Gongda; Ding,Peijun; Chen,Fusen E.; Chin,Barry L.; Kohara,Gene Y.; Xu,Zheng; Zhang,Hong, Method of depositing a metal seed layer on semiconductor substrates.
  280. Chiang, Tony; Yao, Gongda; Ding, Peijun; Chen, Fusen E.; Chin, Barry L.; Kohara, Gene Y.; Xu, Zheng; Zhang, Hong, Method of depositing a metal seed layer over recessed feature surfaces in a semiconductor substrate.
  281. Ding,Peijun; Xu,Zheng; Zhang,Hong; Tang,Xianmin; Gopalraja,Praburam; Rengarajan,Suraj; Forster,John C.; Fu,Jianming; Chiang,Tony; Yao,Gongda; Chen,Fusen E.; Chin,Barry L.; Kohara,Gene Y., Method of depositing a tantalum nitride/tantalum diffusion barrier layer system.
  282. Chiang, Tony; Yao, Gongda; Ding, Peijun; Chen, Fusen E.; Chin, Barry L.; Kohara, Gene Y.; Xu, Zheng; Zhang, Hong, Method of depositing a uniform barrier layer and metal seed layer with reduced overhang over a plurality of recessed semiconductor features.
  283. Chiang, Tony; Yao, Gongda; Ding, Peijun; Chen, Fusen E.; Chin, Barry L.; Kohara, Gene Y.; Xu, Zheng; Zhang, Hong, Method of depositing a uniform metal seed layer over a plurality of recessed semiconductor features.
  284. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low K films.
  285. Biberger, Maximilian A.; Schilling, Paul E., Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module.
  286. Biberger,Maximilian A.; Schilling,Paul E., Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module.
  287. Gaillard, Frederic; Xia, Li-Qun; Yieh, Ellie; Fisher, Paul; Nemani, Srinivas D., Method of depositing organosillicate layers.
  288. Yan Ye ; Pavel Ionov ; Allen Zhao ; Peter Hsieh ; Diana Ma ; Chun Yan ; Jie Yuan, Method of etching dielectric layers using a removable hardmask.
  289. Ye Yan ; Ionov Pavel ; Zhao Allen ; Hsieh Peter Chang-Lin ; Ma Diana Xiaobing ; Yan Chun ; Yuan Jie, Method of etching patterned layers useful as masking during subsequent etching or for damascene structures.
  290. Nallan, Padmapani; Zhang, Tong, Method of etching titanium nitride.
  291. Yamazaki,Shunpei, Method of fabricating an EL display device, and apparatus for forming a thin film.
  292. Yamazaki Shunpei,JPX ; Takenouchi Akira,JPX ; Takemura Yasuhiko,JPX, Method of fabricating thin film transistor.
  293. Ko, Jungmin, Method of fin patterning.
  294. Ngan Kenny King-tai ; Hogan Barry ; Ramaswami Seshadri, Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic inte.
  295. Imahashi Issei,JPX ; Hama Kiichi,JPX ; Hata Jiro,JPX, Method of forming polycrystalline silicon film in process of manufacturing LCD.
  296. Yamazaki, Shunpei; Ohtani, Hisashi; Shimada, Hiroyuki; Sakama, Mitsunori; Abe, Hisashi; Teramoto, Satoshi, Method of manufacturing a semiconductor device.
  297. Yamazaki,Shunpei; Ohtani,Hisashi; Shimada,Hiroyuki; Sakama,Mitsunori; Abe,Hisashi; Teramoto,Satoshi, Method of manufacturing a semiconductor device.
  298. Zhao Ganming,JPX ; Chinn Jeffrey D., Method of obtaining a rounded top trench corner for semiconductor trench etch applications.
  299. Ye Yan ; Ionov Pavel ; Zhao Allen ; Hsieh Peter Chang-Lin ; Ma Diana Xiaobing ; Yan Chun ; Yuan Jie, Method of pattern etching a low K dielectric layer.
  300. Chen Tsan Ying ; Jeng H. Hwang ; Hideyuki Yamauchi JP; Seayoul Park JP; Yohei Kawase JP, Method of patterning lead zirconium titanate and barium strontium titanate.
  301. Hwang, Jeng H.; Chen, Xiaoyi, Method of plasma heating and etching a substrate.
  302. Chiang, Tony; Yao, Gongda; Ding, Peijun; Chen, Fusen E.; Chin, Barry L.; Kohara, Gene Y.; Xu, Zheng; Zhang, Hong, Method of preventing diffusion of copper through a tantalum-comprising barrier layer.
  303. Ngan Kenny K., Method of reducing generation of particulate matter in a sputtering chamber.
  304. Biberger,Maximilian Albert; Layman,Frederick Paul; Sutton,Thomas Robert, Method of supercritical processing of a workpiece.
  305. Wang, Jean; Yang, Chia Ming; Lo, Henry; Tseng, Joshua, Method to implement stress free polishing.
  306. Yim,Kang Sub; Chan,Kelvin; Rajagopalan,Nagarajan; Liu,Josephine Ju Hwei Chang; Ahn,Sang H.; Zheng,Yi; Yi,Sang In; Nguyen,Vu Ngoc Tran; Demos,Alexandros T., Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers.
  307. Jaim Nulman, Methods and apparatus for forming and maintaining high vacuum environments.
  308. Ahmed, Khaled Z., Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices.
  309. Ghanayem Steve G. ; Chandrachood Madhavi, Methods and apparatus for reducing particle contamination during wafer transport.
  310. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  311. Chu, Schubert; Ping, Er-Xuan; Senzaki, Yoshihide, Methods for depositing oxygen deficient metal films.
  312. Lai, Ken Kaung; Rajagopalan, Ravi; Khandelwal, Amit; Moorthy, Madhu; Gandikota, Srinivas; Castro, Joseph; Gelatos, Avgerinos V.; Knepfler, Cheryl; Jian, Ping; Fang, Hongbin; Huang, Chao-Ming; Xi, Ming; Yang, Michael X.; Chung, Hua; Byun, Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  313. Lai,Ken Kaung; Rajagopalan,Ravi; Khandelwal,Amit; Moorthy,Madhu; Gandikota,Srinivas; Castro,Joseph; Gelatos,Averginos V.; Knepfler,Cheryl; Jian,Ping; Fang,Hongbin; Huang,Chao Ming; Xi,Ming; Yang,Michael X.; Chung,Hua; Byun,Jeong Soo, Methods for depositing tungsten layers employing atomic layer deposition techniques.
  314. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  315. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  316. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  317. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  318. Thompson, David; Anthis, Jeffrey W., Methods for the deposition of manganese-containing films using diazabutadiene-based precursors.
  319. Thompson, David; Anthis, Jeffrey W., Methods of depositing a metal alloy film.
  320. Nainani, Aneesh; Abraham, Mathew; Ping, Er-Xuan, Methods of doping substrates with ALD.
  321. Nainani, Aneesh; Abraham, Mathew; Ping, Er-Xuan, Methods of doping substrates with ALD.
  322. Anthis, Jeffrey W.; Schmiege, Benjamin; Thompson, David, Methods of etching films comprising transition metals.
  323. Anthis, Jeffrey W.; Schmiege, Benjamin; Thompson, David, Methods of etching films comprising transition metals.
  324. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  325. Thompson, David; Dai, Huixiong; Martin, Patrick M.; Michaelson, Timothy; Narendrnath, Kadthala R.; Visser, Robert Jan; Xu, Jingjing; Zhang, Lin, Methods of selective layer deposition.
  326. Leveen Lindsay, Microelectronic component fabrication facility, and process for making and using the facility.
  327. Bright Nick ; Mooring Ben, Modular architecture for semiconductor wafer fabrication equipment.
  328. Washburn Hudson A. ; Hamilton Jarrett L., Modular deposition system having batch processing and serial thin film deposition.
  329. Tepman Avi, Monolith processing system platform.
  330. Mohn,Jonathan D.; Helmsen,John J.; Barnes,Michael, Multi-purpose processing chamber with removable chamber liner.
  331. Mullapudi, Ravi; Smith, Dean; Strepka, Edward; Dasaradhi, Srikanth, Multi-station sputtering and cleaning system.
  332. Heyder Roger V. ; Brezocsky Thomas B. ; Davenport Robert E., Multiple loadlock system.
  333. Roger V. Heyder ; Thomas B. Brezocsky ; Robert E. Davenport, Multiple loadlock system.
  334. Yang, Michael Xi; Yoon, Hyungsuk Alexander; Zhang, Hui; Fang, Hongbin; Xi, Ming, Multiple precursor cyclical deposition system.
  335. Kurita, Shinichi; Anwar, Suhail; Lee, Jae-Chull, Multiple slot load lock chamber and method of operation.
  336. Kim, Bok Hoen; Rathi, Sudha; Ahn, Sang H.; Bencher, Christopher D.; Wang, Yuxiang May; M'Saad, Hichem; Silvetti, Mario D.; Fung, Miguel; Jung, Keebum; Zhu, Lei, Nitrogen-free dielectric anti-reflective coating and hardmask.
  337. Kim,Bok Hoen; Rathi,Sudha; Ahn,Sang H.; Bencher,Christopher D.; Wang,Yuxiang May; M'Saad,Hichem; Silvetti,Mario D., Nitrogen-free dielectric anti-reflective coating and hardmask.
  338. Sheydayi,Alexei, Non-contact shuttle valve for flow diversion in high pressure systems.
  339. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  340. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  341. Hunter, Reginald, Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems.
  342. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  343. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  344. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  345. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  346. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  347. Kim Edwin ; Nam Michael ; Cha Chris ; Yao Gongda ; Lee Sophia ; Dorleans Fernand ; Kohara Gene Y. ; Fu Jianming, Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers.
  348. Nguyen, Victor; Lee, Woong Jae; Balseanu, Mihaela; Xia, Li-Qun; Witty, Derek R., PEALD of films comprising silicon nitride.
  349. Hunter, Reginald, Particle detection and embedded vision system to enhance substrate yield and throughput.
  350. Hunter, Reginald; Tsadka, Sagie, Particle detection and embedded vision system to enhance substrate yield and throughput.
  351. Yang, Haichun; Lu, Xinliang; Kao, Chien-Teh; Chang, Mei, Passivation layer formation by plasma clean process to reduce native oxide growth.
  352. Ye Yan ; Ma Diana Xiaobing ; Yin Gerald, Patterned copper etch for micron and submicron features, using enhanced physical bombardment.
  353. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  354. Arvind Sundarrajan ; Dinesh Saigal, Physical vapor deposition apparatus with deposition and DC target power control.
  355. Shunpei Yamazaki JP; Toru Takayama JP; Mitsunori Sakama JP; Hisashi Abe JP; Hiroshi Uehara JP; Mika Ishiwata JP, Plasma CVD apparatus.
  356. Yamazaki Shunpei,JPX ; Takayama Toru,JPX ; Sakama Mitsunori,JPX ; Abe Hisashi,JPX ; Uehara Hiroshi,JPX ; Ishiwata Mika,JPX, Plasma CVD apparatus.
  357. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  358. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  359. Yamazaki, Shunpei; Takayama, Toru; Sakama, Mitsunori; Abe, Hisashi; Uehara, Hiroshi; Ishiwata, Mika, Plasma CVD apparatus.
  360. Hwang, Jeng H.; Chen, Xiaoyi, Plasma heating of a substrate with subsequent high temperature etching.
  361. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  362. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  363. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  364. Lee, Wei Ti; Guo, Ted, Plasma-enhanced chemical vapor deposition of a metal nitride layer.
  365. Yang, Michael X.; Itoh, Toshio; Xi, Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  366. Yang,Michael X.; Itoh,Toshio; Xi,Ming, Plasma-enhanced cyclic layer deposition process for barrier layers.
  367. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  368. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  369. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  370. Ye Yan ; Zhao Xiaoye ; Hsieh Chang-Lin ; Deng Xian-Can,CNX ; Tu Wen-Chiang ; Chu Chung-Fu ; Ma Diana Xiaobing, Post-etch treatment of plasma-etched feature surfaces to prevent corrosion.
  371. Sheydayi,Alexei, Pressure energized pressure vessel opening and closing device and method of providing therefor.
  372. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  373. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  374. Todd Craig B. ; Yu James E., Process chamber lid.
  375. Kim Daehwan D., Process chamber tray.
  376. Song, Kevin; Ravi, Jallepally; Li, Shih-Hung; Chen, Liang-Yuh, Process conditions and precursors for atomic layer deposition (ALD) of AL2O3.
  377. Wuester,Christopher D., Process flow thermocouple.
  378. Ye Yan ; Ma Diana Xiaobing, Process for copper etch back.
  379. Ganguli, Seshadri; Yu, Sang-Ho; Phan, See-Eng; Chang, Mei; Khandelwal, Amit; Ha, Hyoung-Chan, Process for forming cobalt and cobalt silicide materials in tungsten contact applications.
  380. Ganguli, Seshadri; Yu, Sang-Ho; Phan, See-Eng; Chang, Mei; Khandelwal, Amit; Ha, Hyoung-Chan, Process for forming cobalt and cobalt silicide materials in tungsten contact applications.
  381. Ganguli, Seshadri; Chu, Schubert S.; Chang, Mei; Yu, Sang-Ho; Moraes, Kevin; Phan, See-Eng, Process for forming cobalt-containing materials.
  382. Hahn,Jens; Schmidbauer,Sven, Process for producing aluminum-filled contact holes.
  383. Saeki, Hiroaki; Matsushima, Keiichi; Asakawa, Teruo; Narushima, Masaki, Processing apparatus.
  384. Matsushita, Minoru; Kodashima, Yasushi; Kumai, Toshikazu, Processing apparatus, transferring apparatus and transferring method.
  385. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  386. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  387. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  388. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  389. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  390. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  391. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  392. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  393. Bluck, Terry; Scollay, Stuart; Tong, Edric, Processing thin wafers.
  394. Bluck, Terry; Scollay, Stuart; Tong, Edric, Processing thin wafers.
  395. Ye, Mengqi; Liu, Zhendong; Ding, Peijun, Protective offset sputtering.
  396. Ye, Mengqi; Liu, Zhendong; Ding, Peijun, Protective offset sputtering.
  397. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  398. Lu, Xinliang; Jian, Ping; Yoo, Jong Hyun; Lai, Ken Kaung; Mak, Alfred W.; Jackson, Robert L.; Xi, Ming, Pulsed deposition process for tungsten nucleation.
  399. Lu,Xinliang; Jian,Ping; Yoo,Jong Hyun; Lai,Ken Kaung; Mak,Alfred W.; Jackson,Robert L.; Xi,Ming, Pulsed nucleation deposition of tungsten layers.
  400. Dunn, Todd; White, Carl; Halpin, Mike; Shero, Eric; Terhorst, Herbert; Winkler, Jerry, Pulsed valve manifold for atomic layer deposition.
  401. Sugiyama, Toru; Nakano, Ryu, Purge step-controlled sequence of processing semiconductor wafers.
  402. Blonigan, Wendell T.; Sorensen, Carl A., RF matching network with distributed outputs.
  403. Wendell T. Blonigan ; Carl A. Sorensen, RF matching network with distributed outputs.
  404. Bera, Kallol, RF multi-feed structure to improve plasma uniformity.
  405. Bera, Kallol, RF multi-feed structure to improve plasma uniformity.
  406. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  407. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  408. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  409. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  410. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  411. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  412. Pomarede, Christophe; Shero, Eric J.; Jylhä, Olli, Reduced cross-contamination between chambers in a semiconductor processing tool.
  413. Pomarede,Christophe; Shero,Eric J.; Jylh채,Olli, Reduced cross-contamination between chambers in a semiconductor processing tool.
  414. Komino Mitsuaki,JPX, Reduced pressure and normal pressure treatment apparatus.
  415. Chung,Hua; Ganguli,Seshadri; Marcadal,Christophe; Yu,Jick M., Reduction of copper dewetting by transition metal deposition.
  416. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  417. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  418. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  419. Mullee, William H.; de Leeuwe, Marc; Roberson, Jr., Glenn A., Removal of CMP residue from semiconductor substrate using supercritical carbon dioxide process.
  420. Mullee, William H., Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process.
  421. van Gogh James, Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system.
  422. Higashi Kumiko (Kumamoto JPX), Rotary type apparatus for processing semiconductor wafers and method of processing semiconductor wafers.
  423. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  424. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  425. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  426. Lam, Andrew; Kim, Yihwan, Selective epitaxy process control.
  427. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  428. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  429. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  430. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  431. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  432. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  433. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  434. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  435. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  436. Ye, Zhiyuan; Chopra, Saurabh; Lam, Andrew; Kim, Yihwan, Selective formation of silicon carbon epitaxial layer.
  437. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  438. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  439. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  440. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  441. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  442. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  443. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  444. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  445. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  446. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  447. Gopalraja, Praburam; Fu, Jianming; Tang, Xianmin; Forster, John C.; Kelkar, Umesh, Self-ionized and capacitively-coupled plasma for sputtering and resputtering.
  448. Gopalraja,Praburam; Fu,Jianming; Tang,Xianmin; Forster,John C.; Kelkar,Umesh, Self-ionized and capacitively-coupled plasma for sputtering and resputtering.
  449. Ding, Peijun; Tao, Rong; Xu, Zheng; Lubben, Daniel C.; Rengarajan, Suraj; Miller, Michael A.; Sundarrajan, Arvind; Tang, Xianmin; Forster, John C.; Fu, Jianming; Mosely, Roderick C.; Chen, Fusen; Gopalraja, Praburam, Self-ionized and inductively-coupled plasma for sputtering and resputtering.
  450. Ding, Peijun; Tao, Rong; Xu, Zheng; Lubben, Daniel C.; Rengarajan, Suraj; Miller, Michael A.; Sundarrajan, Arvind; Tang, Xianmin; Forster, John C.; Fu, Jianming; Mosely, Roderick C.; Chen, Fusen; Gopalraja, Praburam, Self-ionized and inductively-coupled plasma for sputtering and resputtering.
  451. Ding, Peijun; Tao, Rong; Xu, Zheng; Lubben, Daniel C.; Rengarajan, Suraj; Miller, Michael A.; Sundarrajan, Arvind; Tang, Xianmin; Forster, John C.; Fu, Jianming; Mosely, Roderick C.; Chen, Fusen; Gopalraja, Praburam, Self-ionized and inductively-coupled plasma for sputtering and resputtering.
  452. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  453. Xu Zheng ; Forster John ; Yao Tse-Yong, Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches.
  454. Trivedi, Mayur; Padiyar, Sushil; Karuppiah, Lakshmanan; Thakur, Randhir, Semiconductor device processing tools and methods for patterning substrates.
  455. Kawamura Yoshio,JPX ; Kashima Hideo,JPX ; Moriyama Shigeo,JPX, Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing.
  456. Kawamura Yoshio,JPX ; Kashima Hideo,JPX ; Moriyama Shigeo,JPX, Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing semiconductor device.
  457. Yamagishi, Takayuki; Suwada, Masaei; Watanabe, Takeshi, Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections.
  458. Yamagishi,Takayuki; Suwada,Masaei; Watanabe,Takeshi, Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections.
  459. Narushima,Masaki; Saeki,Hiroaki, Semiconductor processing system.
  460. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  461. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  462. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  463. Sanchez, Errol Antonio C.; Carlson, David K.; Kuppurao, Satheesh, Semiconductor substrate processing system.
  464. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  465. Wang,Hougong; Ngan,Kenny King Tai; Xu,Zheng, Semiconductor wafer preheating.
  466. Huston, Joel M., Showerhead assembly.
  467. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  468. Van Zeghbroeck,Bart J.; Torvik,John T., Silicon carbide semiconductor devices with a regrown contact layer.
  469. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  470. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  471. Nistler John L., Silicon implantation into selective areas of a refractory metal to reduce consumption of silicon-based junctions during salicide formation.
  472. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  473. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  474. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  475. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  476. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  477. Glenn, W. Benjamin; Verplancken, Donald J., Simultaneous cyclical deposition in different processing regions.
  478. Kroeker, Tony, Single wafer load lock with internal wafer transport.
  479. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  480. Yudovsky, Joseph, Spring-loaded pins for susceptor assembly and processing methods using same.
  481. Kim Edwin ; Nam Michael ; Cha Chris ; Yao Gongda ; Lee Sophia ; Dorleans Fernand ; Kohara Gene Y. ; Fu Jianming, Sputter deposited barrier layers.
  482. Chiang Tony ; Ding Peijun ; Chin Barry L., Sputtering methods for depositing stress tunable tantalum and tantalum nitride films.
  483. Borden, Peter G., Stacked load-lock apparatus and method for high throughput solar cell manufacturing.
  484. Tony Chiang ; Peijun Ding ; Barry L. Chin ; Bingxi Sun, Stress tunable tantalum and tantalum nitride films.
  485. Ding Peijun ; Hashim Imran ; Chin Barry L., Structure and method for improving low temperature copper reflow in semiconductor features.
  486. Peijun Ding ; Imran Hashim ; Barry L. Chin, Structure for improving low temperature copper reflow in semiconductor features.
  487. Saly, Mark; Thompson, David, Substituted silacyclopropane precursors and their use for the deposition of silicon-containing films.
  488. Saly, Mark; Thompson, David, Substituted silacyclopropane precursors and their use for the deposition of silicon-containing films.
  489. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Substrate changing-over mechanism in a vaccum tank.
  490. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Substrate changing-over mechanism in vacuum tank.
  491. Rogelstad, Terrance R., Substrate cooldown chamber.
  492. Yamazaki, Shunpei; Ohtani, Hisashi; Shimada, Hiroyuki; Sakama, Mitsunori; Abe, Hisashi; Teramoto, Satoshi, Substrate processing apparatus and a manufacturing method of a thin film semiconductor device.
  493. Shunpei Yamazaki JP; Hisashi Ohtani JP; Hiroyuki Shimada JP; Mitsunori Sakama JP; Hisashi Abe JP; Satoshi Teramoto JP, Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device.
  494. Yonemitsu Shuji,JPX ; Karino Toshikazu,JPX ; Yoshida Hisashi,JPX ; Watahiki Shinichiro,JPX ; Yoshida Yuji,JPX ; Shimura Hideo,JPX ; Sugimoto Takeshi,JPX ; Aburatani Yukinori,JPX ; Ikeda Kazuhito,JPX, Substrate processing apparatus with a processing chamber, transfer chamber, intermediate holding chamber, and an atmospheric pressure section.
  495. Yonemitsu Shuji,JPX ; Karino Toshikazu,JPX ; Yoshida Hisashi,JPX ; Watahiki Shinichiro,JPX ; Yoshida Yuji,JPX ; Shimura Hideo,JPX ; Sugimoto Takeshi,JPX ; Aburatani Yukinori,JPX ; Ikeda Kazuhito,JPX, Substrate transferring mechanism.
  496. Xi, Ming; Yang, Michael; Zhang, Hui, System and method for forming an integrated barrier layer.
  497. Gale,Glenn; Hillman,Joseph T.; Jacobson,Gunilla; Palmer,Bentley, System and method for processing a substrate using supercritical carbon dioxide processing.
  498. Nagashima, Makoto, Systems and methods for magnetron deposition.
  499. Ding, Peijun; Chiang, Tony; Chin, Barry L., Tailored barrier layer which provides improved copper interconnect electromigration resistance.
  500. Jingang Su ; Gongda Yao ; Zhang Xu ; Fusen Chen, Tailoring of a wetting/barrier layer to reduce electromigration in an aluminum interconnect.
  501. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  502. Ye, Mengqi; Ding, Peijun; Wang, Hougong; Liu, Zhendong, Thin film deposition.
  503. Kenny King-tai Ngan ; Seshadri Ramaswami, Ti/Tinx underlayer which enables a highly <111> oriented aluminum interconnect.
  504. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  505. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  506. Tepman Avi, Transfer chamber.
  507. Kurita, Shinichi; Beer, Emanuel; Nguyen, Hung T.; Blonigan, Wendell T., Transfer chamber for vacuum processing system.
  508. Schaller, Jason, Transfer chamber with vacuum extension for shutter disks.
  509. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Transferring device for a vacuum processing apparatus and operating method therefor.
  510. Gardner Mark I. ; Fulford ; Jr. H. Jim ; May Charles E., Transistor having a nitrogen incorporated epitaxially grown gate dielectric and method of making same.
  511. Jacobson,Gunilla; Yellowaga,Deborah, Treatment of a dielectric layer using supercritical CO.
  512. Kevwitch, Robert, Treatment of substrate using functionalizing agent in supercritical carbon dioxide.
  513. Wu, Kai; Yu, Sang Ho, Tungsten deposition with tungsten hexafluoride (WF6) etchback.
  514. Wang, Shulin; Kroemer, Ulrich; Luo, Lee; Chen, Aihua; Li, Ming, Tungsten nitride atomic layer deposition processes.
  515. Wang,Shulin; Kroemer,Ulrich; Luo,Lee; Chen,Aihua; Li,Ming, Tungsten nitride atomic layer deposition processes.
  516. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  517. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  518. Edwards Richard C., Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor.
  519. Yim,Kang Sub; Zheng,Yi; Nemani,Srinivas D.; Xia,Li Qun; Hollar,Eric P., Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD).
  520. Tony Chiang ; Peijun Ding ; Barry Chin, Ultra-low resistivity tantalum films and methods for their deposition.
  521. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  522. Sheydayi,Alexei, Vacuum chuck utilizing sintered material and method of providing thereof.
  523. Fukasawa Yoshio (Kofu JPX) Hosoda Shozo (Yamanashi-ken JPX) Nakagome Tatsuya (Yamanashi-ken JPX) Tozawa Takashi (Yamanashi-ken JPX) Suzuki Koji (Yamanashi-ken JPX) Ishihara Yasumasa (Kofu JPX) Aoyagi, Vacuum process apparatus and vacuum processing method.
  524. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  525. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  526. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus.
  527. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  528. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  529. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  530. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  531. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus.
  532. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  533. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  534. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  535. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  536. Kato, Shigekazu; Nishihata, Kouji; Tsubone, Tsunehiko; Itou, Atsushi, Vacuum processing apparatus and operating method therefor.
  537. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing apparatus and operating method therefor.
  538. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  539. Shigekazu Kato JP; Kouji Nishihata JP; Tsunehiko Tsubone JP; Atsushi Itou JP, Vacuum processing apparatus and operating method therefor.
  540. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsuenhiko; Itou,Atsushi, Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors.
  541. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors.
  542. Lee Hideki (Nirasaki JPX), Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus.
  543. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsuenhiko; Itou,Atsushi, Vacuum processing operating method with wafers, substrates and/or semiconductors.
  544. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing operating method with wafers, substrates and/or semiconductors.
  545. Kato,Shigekazu; Nishihata,Kouji; Tsubone,Tsunehiko; Itou,Atsushi, Vacuum processing operating method with wafers, substrates and/or semiconductors.
  546. Lu, Siqing; Chang, Yu; Sun, Dongxi; Dang, Vinh; Yang, Michael X.; Chang, Anzhong; Nguyen, Anh N.; Xi, Ming, Valve control system for atomic layer deposition chamber.
  547. Lu,Siqing; Chang,Yu; Sun,Dongxi; Dang,Vinh; Yang,Michael X.; Chang,Anzhong (Andrew); Nguyen,Anh N.; Xi,Ming, Valve control system for atomic layer deposition chamber.
  548. Kim, Sam Hyungsam; Lee, Jae-Chull; Sterling, William N.; Brown, Paul, Valve door with ball coupling.
  549. Lee, Sang-Hyeob; Gelatos, Avgerinos V.; Wu, Kai; Khandelwal, Amit; Marshall, Ross; Renuart, Emily; Lai, Wing-Cheong Gilbert; Lin, Jing, Vapor deposition of tungsten materials.
  550. White, Carl L.; Shero, Eric, Vapor flow control apparatus for atomic layer deposition.
  551. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  552. Coxon, Peter, Work piece transfer mechanisms.
  553. Ferrara, Joseph, Work-piece processing system.
  554. Ferrara,Joseph, Work-piece processing system.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로