$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of micromachining an integrated sensor on the surface of a silicon wafer 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/3065
출원번호 US-0059222 (1993-05-10)
발명자 / 주소
  • Sparks Douglas R. (Kokomo IN) Brown Ronald E. (Kokomo IN) Healton Robert L. (Kokomo IN) Christenson John C. (Kokomo IN)
출원인 / 주소
  • Delco Electronics Corporation (Kokomo IN 02)
인용정보 피인용 횟수 : 115  인용 특허 : 0

초록

A method for micromachining the surface of a silicon substrate which encompasses a minimal number of processing steps. The method involves a preferential etching process in which a chlorine plasma etch is capable of laterally etching an N+buried layer beneath the surface of the bulk substrate. Such

대표청구항

A method for micromachining a surface of a silicon substrate so as to form therein a micromachined element of a semiconductor device, the method comprising the steps of: forming an N+region in the surface of the substrate; growing an epitaxial silicon layer over the surface of the substrate so as to

이 특허를 인용한 특허 (115)

  1. Payne, Alexander; Miller, Gregory, 2-D diffraction grating for substantially eliminating polarization dependent losses.
  2. Amm, David T., Angled illumination for a single order light modulator based projection system.
  3. Trisnadi, Jahja I.; Carlisle, Clinton B., Apparatus for selectively blocking WDM channels.
  4. Maheshwari,Dinesh, Arbitrary phase profile for better equalization in dynamic gain equalizer.
  5. Amm, David T.; Trisnadi, Jahja; Hunter, James; Gudeman, Christopher; Maheshwari, Dinesh, Blazed grating light valve.
  6. Eaton William P. ; Staple Bevan D. ; Smith James H., Capacitance pressure sensor.
  7. Gutierrez, Roman C., Cascaded electrostatic actuator.
  8. Maheshwari, Dinesh; Trisnadi, Jahia; Corrigan, Robert W., Chirped optical MEM device.
  9. Leung, Omar; Amm, David T., Controlled curvature of stressed micro-structures.
  10. Maheshwari, Dinesh, Diffractive light modulator with dynamically rotatable diffraction plane.
  11. Trisnadi,Jahja I.; Carlisle,Clinton B.; Cejna,Vlastimil, Diffractive light modulator-based dynamic equalizer with integrated spectral monitor.
  12. Partridge, Aaron; Lutz, Markus; Kronmueller, Silvia, Electromechanical system having a controlled atmosphere, and method of fabricating same.
  13. Partridge, Aaron; Lutz, Markus; Kronmueller, Silvia, Electromechanical system having a controlled atmosphere, and method of fabricating same.
  14. Adams,Scott; Davis,Tim; Miller,Scott; Shaw,Kevin; Chong,John Matthew; Lee,Seung (Chris) Bok, Electrostatic actuator for microelectromechanical systems and methods of fabrication.
  15. Adams,Scott; Davis,Tim; Miller,Scott; Shaw,Kevin; Chong,John Matthew; Lee,Seung Bok (Chris), Electrostatic actuator for microelectromechanical systems and methods of fabrication.
  16. Adams, Scott; Davis, Tim; Miller, Scott; Shaw, Kevin; Chong, John Matthew; Lee, Seung Bok (Chris), Electrostatic actuator for micromechanical systems.
  17. Brown,Peter S.; Berlo,Marcelyn A.; Ton,Tina A.; Dang,Kim Lien; Creech,Veronica; Parker,Joanne L., Endovascular graft with pressor and attachment methods.
  18. Hayashi,Reid K.; Concemi,Alfred, Endovascular graft with pressure, temperature, flow and voltage sensors.
  19. Brown,Peter S.; Lemere,Mark T.; Barkman,Kimberly; Kovac,Tim, Endovascular graft with sensors design and attachment methods.
  20. Partridge, Aaron; Lutz, Markus, Episeal pressure sensor.
  21. Miller, Gregory; Berger, Josef, Fiber optic transceiver.
  22. McNie, Mark E., Formation of a bridge in a micro-device.
  23. Sridhar, Uppili; Nagarajan, Ranganathan; Miao, Yu Bo; Su, Yi, High aspect ratio trench isolation process for surface micromachined sensors and actuators.
  24. Tomita,Akira; Amm,David Thomas; Daneman,Michael J.; Hunter,James; Staker,Bryan, High contrast grating light valve type device.
  25. Maheshwari,Dinesh, High contrast tilting ribbon blazed grating.
  26. Ajay Kumar ; Anisul Khan ; Jeffrey D Chin ; Dragan V Podlesnik, High etch rate method for plasma etching silicon nitride.
  27. Paolo Ferrari IT; Armando Manfredi IT; Benedetto Vigna IT, High quality factor, integrated inductor and production method thereof.
  28. Fruth, John R.; Kesler, Scott B., Integrated circuit including semiconductor power device and electrically isolated thermal sensor.
  29. Hunter, James A., Integrated driver process flow.
  30. Luce, Stephen E.; Stamper, Anthony K., Integrated semiconductor devices with amorphous silicon beam, methods of manufacture and design structure.
  31. Luce, Stephen E.; Stamper, Anthony K., Integrated semiconductor devices with amorphous silicon beam, methods of manufacture and design structure.
  32. Harame, David L.; Luce, Stephen E.; Stamper, Anthony K., Integrated semiconductor devices with single crystalline beam, methods of manufacture and design structure.
  33. Harame, David L.; Luce, Stephen E.; Stamper, Anthony K., Integrated semiconductor devices with single crystalline beam, methods of manufacture and design structure.
  34. Harame, David L.; Stamper, Anthony K., Integrated semiconductor devices with single crystalline beam, methods of manufacture and design structure.
  35. Harame, David L.; Stamper, Anthony K., Integrated semiconductor devices with single crystalline beam, methods of manufacture and design structure.
  36. Carlisle, Clinton B.; Trisnadi, Jahia I.; Hunter, James, Light modulator structure for producing high-contrast operation using zero-order light.
  37. Trisnadi,Jahja I.; Carlisle,Clinton B., MEMS interferometer-based reconfigurable optical add-and-drop multiplexor.
  38. Haluzak,Charles C.; Pollard,Jeffrey R., MEMS packaging structure and methods.
  39. Ganapathi,Srinivasan K., Magnetoresistive semiconductor pressure sensors and fingerprint identification/verification sensors using same.
  40. Ganapathi,Srinivasan K., Magnetoresistive semiconductor pressure sensors and fingerprint identification/verification sensors using same.
  41. Hiroshi Ohji JP; Kazuhiko Tsutsumi JP; Patrick J. French NL, Manufacturing method of silicon device.
  42. Lun, Zhao; Lee, James Yong Meng; Teo, Lee Wee; Tan, Shyue Seng; Lai, Chung Woh; Widodo, Johnny; Mishra, Shailendra; Chee, Jeffrey, Memory cell structure and method for fabrication thereof.
  43. Trisnadi, Jahja I.; Carlisle, Clinton B., Method and apparatus for dynamic equalization in wavelength division multiplexing.
  44. Winer, Paul; Vakanas, George P., Method and apparatus for fabrication of passivated microfluidic structures in semiconductor substrates.
  45. Winer,Paul; Vakanas,George P., Method and apparatus for fabrication of passivated microfluidic structures in semiconductor substrates.
  46. de Groot, Wilhelmus; Maheshwari, Dinesh, Method and apparatus for leveling thermal stress variations in multi-layer MEMS devices.
  47. Trisnadi, Jahja I., Method and apparatus for reducing laser speckle using polarization averaging.
  48. Faguet, Jacques, Method and system for fabricating a nano-structure.
  49. Miller, Gregory D., Method for domain patterning in low coercive field ferroelectrics.
  50. Faguet, Jacques, Method for enlarging a nano-structure.
  51. Barron Carole C. ; Fleming James G. ; Montague Stephen, Method for integrating microelectromechanical devices with electronic circuitry.
  52. Kumar Ajay ; Khan Anisul ; Chinn Jeffrey D ; Podlesnik Dragan, Method for plasma etching at a high etch rate.
  53. Philippe Robert FR; France Michel FR; Hubert Grange FR, Method for producing a suspended element in a micro-machined structure.
  54. Shook, James Gill, Method of and apparatus for sealing an hermetic lid to a semiconductor die.
  55. Chong, John M.; Waldrop, Paul; Davis, Tim; Adams, Scott, Method of fabricating semiconductor wafers having multiple height subsurface layers.
  56. Ho Francis ; Yamamoto Yoshihisa, Method of making released micromachined structures by directional etching.
  57. Leung, Omar S., Method of sealing a hermetic lid to a semiconductor die at an angle.
  58. Trisnadi, Jahja I., Method, apparatus, and diffuser for reducing laser speckle.
  59. Lee, Whonchee; Fucsko, Janos; Wells, David H., Methods of etching single crystal silicon.
  60. Wells, David H.; Manning, H. Montgomery, Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon.
  61. Fucsko, Janos; Wells, David H.; Flynn, Patrick; Lee, Whonchee, Methods of forming single crystal silicon structures and semiconductor device structures including single crystal silicon structures.
  62. Harame, David L.; Luce, Stephen E.; Stamper, Anthony K., Methods of manufacturing integrated semiconductor devices with single crystalline beam.
  63. Fucsko, Janos; Wells, David H.; Flynn, Patrick; Lee, Whonchee, Methods of shaping vertical single crystal silicon walls and resulting structures.
  64. Bowers, John Edward; Helkey, Roger Jonathan; Corbalis, Charles; Sink, Robert Kehl; Lee, Seung Bok; MacDonald, Noel, Micro-electro-mechanical-system (MEMS) mirror device and methods for fabricating the same.
  65. Hunter,Jim; Amm,David; Gudeman,Christopher, Micro-structures with individually addressable ribbon pairs.
  66. Gudeman, Christopher; Hunter, James; Yeh, Richard; Amm, David T., Micro-support structures.
  67. Glenn, Thomas P., Microcircuit die-sawing protector.
  68. Thomas P. Glenn, Microcircuit die-sawing protector and method.
  69. Yazdi Navid ; Najafi Khalil, Microelectromechanical capacitive accelerometer and method of making same.
  70. Navid Yazdi ; Khalil Najafi, Microelectromechanical capactive accelerometer and method of making same.
  71. Bruner, Mike, Microelectronic mechanical system and methods.
  72. Bruner,Mike, Microelectronic mechanical system and methods.
  73. Bruner,Mike; Yeh,Richard; Hunter,Jim, Microelectronic mechanical system and methods.
  74. Kyle S. Lebouitz ; Roger T. Howe ; Albert P. Pisano, Microfabricated filter and shell constructed with a permeable membrane.
  75. Werner, Wolfgang; Kolb, Stefan, Micromechanical component with sealed membrane openings and method of fabricating a micromechanical component.
  76. Fuertsch,Matthias; Pinter,Stefan; Weber,Heribert; Fischer,Frank; Metzger,Lars; Schelling,Christoph; Sundermeier,Frieder, Micromechanical structural element having a diaphragm and method for producing such a structural element.
  77. Shafaat, Syed Tariq; Carlisle, Clinton B.; Hartranft, Marc, Optical communication arrangement.
  78. Alioshin, Paul; Corbin, Dave B.; Tomita, Akira; Corrigan, Robert W., Optical device arrays with optimized image resolution.
  79. Maheshwari, Dinesh; Dueweke, Michael, PDL mitigation structure for diffractive MEMS and gratings.
  80. Dueweke, Michael; Maheshwari, Dinesh; Gudernan, Christopher; Trisnadi, Jahja I., Patterned diffractive light modulator ribbon for PDL reduction.
  81. Rich,David B.; Crist,Steven M., Piezoresistive sensing structure.
  82. Arturo A. Ayon, Plasma etch techniques for fabricating silicon structures from a substrate.
  83. Gudeman,Christopher; Leung,Omar; Hunter,James; Amm,David, Pre-deflected bias ribbons.
  84. Carlisle, Clinton B.; Trisnadi, Jahja I., Rapidly tunable external cavity laser.
  85. Trisnadi,Jahja I.; Carlisle,Clinton B., Reconfigurable modulator-based optical add-and-drop multiplexer.
  86. Hunter, James; Staker, Bryan, Reduced formation of asperities in contact micro-structures.
  87. Seefeldt James D. ; Mattes Michael F., Resonating structure and method for forming the resonating structure.
  88. Gutierrez, Roman C., Row and column actuator control.
  89. Steegen, An L.; Surendra, Maheswaran; Wann, Hsing-Jen; Zhang, Ying; Zach, Franz; Wong, Robert, Selective silicon-on-insulator isolation structure and method.
  90. Steegen,An L.; Surendra,Maheswaran; Wann,Hsing Jen; Zhang,Ying; Zach,Franz; Wong,Robert, Selective silicon-on-insulator isolation structure and method.
  91. Fukuzumi,Yoshiaki, Semiconductor device and method of manufacturing a semiconductor device.
  92. Sugaya,Hiroyuki, Semiconductor device with cavity and method of manufacture thereof.
  93. Yokoyama, Kenichi, Semiconductor physical quantity sensor and method for manufacturing the same.
  94. Yokoyama,Kenichi, Semiconductor physical quantity sensor and method for manufacturing the same.
  95. Lee, Whonchee; Fucsko, Janos; Wells, David H., Semiconductor structures including square cuts in single crystal silicon.
  96. Lee, Whonchee; Fucsko, Janos; Wells, David H., Semiconductor structures including square cuts in single crystal silicon and method of forming same.
  97. Wells, David H.; Manning, H. Montgomery, Semiconductor substrates with undercut structures.
  98. Chong, John; Lee, Seung Bok; MacDonald, Noel; Lewis, Robert; Hunt, Peter, Shaped electrodes for micro-electro-mechanical-system (MEMS) devices to improve actuator performance and methods for fabricating the same.
  99. Sparks Douglas Ray ; Jiang George Qin ; Chilcott Dan Wesley ; Kearney Mark Billings, Silicon micromachined motion sensor and method of making.
  100. Hunter,James; Gudeman,Christopher S., Silicon substrate as a light modulator sacrificial layer.
  101. Karanfilov, Christopher I., Single cell isolation apparatus and method of use.
  102. Fucsko, Janos; Wells, David H.; Flynn, Patrick; Lee, Whonchee, Single crystal silicon structures.
  103. Yazdi Navid ; Najafi Khalil, Single-side microelectromechanical capacitive accelerometer and method of making same.
  104. Yazdi, Navid; Najafi, Khalil; Salian, Arvind, Single-side microelectromechanical capacitive accelerometer and method of making same.
  105. Yazdi Navid ; Najafi Khalil, Single-side microelectromechanical capacitive acclerometer and method of making same.
  106. Najafi, Nader; Sparks, Douglas Ray, System having wireless implantable sensor.
  107. Maheshwari, Dinesh, Tilt-able grating plane for improved crosstalk in 1×N blaze switches.
  108. Seefeldt James D. ; Mattes Michael F., Transducer having a resonating silicon beam and method for forming same.
  109. Seefeldt James D. ; Mattes Michael F., Transducer having a resonating silicon beam and method for forming same.
  110. Adams Scott G. ; Shaw Kevin A. ; Webb Russell Y. ; Reed Bryan W. ; MacDonald Noel C. ; Davis Timothy J., Trench isolation for micromechanical devices.
  111. Scott G. Adams ; Kevin A. Shaw ; Russell Y. Webb ; Bryan W. Reed ; Noel C. MacDonald ; Timothy J. Davis, Trench isolation for micromechanical devices.
  112. Baiocco,Christopher V.; Steegen,An L.; Zhang,Ying, Trench sidewall passivation for lateral RIE in a selective silicon-on-insulator process flow.
  113. Corrigan,Robert W.; Maheshwari,Dinesh, Two-stage gain equalizer.
  114. Roxlo, Charles B., Wavelength selective switch and equalizer.
  115. Lee, Whonchee; Fucsko, Janos; Wells, David H., Wet etch suitable for creating square cuts in si.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로