$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Methods of forming an interconnect on a semiconductor substrate 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/44
출원번호 US-0905473 (1992-06-29)
발명자 / 주소
  • Mu Xiao-Chun (Saratoga CA) Sivaram Srinivasan (San Jose CA) Gardner Donald S. (Mountain View CA) Fraser David B. (Danville CA)
출원인 / 주소
  • Intel Corporation (Santa Clara CA 02)
인용정보 피인용 횟수 : 309  인용 특허 : 23

초록

A device and methods of forming an interconnection within a prepatterned channel in a semiconductor device are described. The present invention includes a method of forming an interconnect channel within a semiconductor device. A first dielectric layer is deposited over a substrate and patterned to

대표청구항

A method of forming an interconnect on a semiconductor substrate comprising the steps of: depositing a first dielectric layer on said substrate; patterning said first dielectric layer to form a patterned first dielectric layer having a first opening; filling said first opening with a conductive plug

이 특허에 인용된 특허 (23)

  1. Beyer Klaus D. (Poughkeepsie NY) Guthrie William L. (Poughkeepsie NY) Makarewicz Stanley R. (New Windsor NY) Mendel Eric (Poughkeepsie NY) Patrick William J. (Newburgh NY) Perry Kathleen A. (Lagrange, Chem-mech polishing method for producing coplanar metal/insulator films on a substrate.
  2. Joseph Robert R. (Poughkeepsie NY) Wong Man-Chong (Poughkeepsie NY), Composite insulator structure.
  3. Feldman Leonard C. (Berkeley Heights NJ) Higashi Gregg S. (Basking Ridge NJ) Mak Cecilia Y. (Bedminster NJ) Miller Barry (Murray Hill NJ), Fabrication of electronic devices by electroless plating of copper onto a metal silicide.
  4. Thomas Michael E. (Cupertino CA) Chinn Jeffrey D. (Foster City CA), High performance interconnect system for an integrated circuit.
  5. Coleman ; Jr. Donald J. (Plano TX), Iterative self-aligned contact metallization process.
  6. Ghate Prabhakar B. (Dallas TX) Wilson Arthur M. (Richardson TX) Fuller Clyde R. (Plano TX), Maximum density interconnections for large scale integrated circuits.
  7. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  8. Doan Trung T. (Boise ID), Method of etching back of tungsten layers on semiconductor wafers, and solution therefore.
  9. Thomas Michael E. (Cupertino CA) Chinn Jeffrey D. (Foster City CA), Method of fabricating a high performance interconnect system for an integrated circuit.
  10. Ku San-Mei (3 Carnelli Ct. Poughkeepsie NY 12603) Perry Kathleen A. (22120 Viscanio Rd. Woodland Hills CA 91364), Method of forming contacts to a semiconductor device.
  11. Tsuji Hitoshi (Yokohama JPX) Kato Tiharu (Yokohama JPX) Takaoki Kiyoshi (Ebina JPX), Method of manufacturing a semiconductor device involving sidewall spacer formation.
  12. Mo Roy (Flushing NY), Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition.
  13. Logan Joseph S. (Poughkeepsie NY) Mauer ; IV John L. (Sherman CT) Rothman Laura B. (Sherman CT) Schwartz Geraldine C. (Poughkeepsie NY) Standley Charles L. (Wappingers Falls NY), Planar multi-level metal process with built-in etch stop.
  14. Li Jian (Ithaca NY) Colgan Evan (Suffern NY) Mayer James W. (Ithaca NY), Process for fabricating copper interconnects in ultra large scale integrated (ULSI) circuits.
  15. Nair Krishna K. (Binghamton NY) Snyder Keith A. (Vestal NY), Process for making multilayer integrated circuit substrate.
  16. Anderson Dirk N. (Plano TX), Process for making vertically-oriented interconnections for VLSI devices.
  17. Joshi Rajiv V. (Yorktown Heights NY) Cuomo Jerome J. (Lincolndale NY) Dalal Hormazdyar M. (Milton NY) Hsu Louis L. (Fishkill NY), Refractory metal capped low resistivity metal conductor lines and vias.
  18. Ahmad Umar M. (Hopewell Junction NY) Berger Daniel G. (Poughkeepsie NY) Kumar Ananda (Hopewell Junction NY) LaMaire Susan J. (Yorktown Heights NY) Prasad Keshav B. (New Paltz NY) Ray Sudipta K. (Wapp, Selective plating method for forming integral via and wiring layers.
  19. Li Jian (Ithaca NY) Mayer James W. (Phoenix AZ) Colgan Evan G. (Suffern NY) Gambino Jeffrey P. (Gaylordsville CT), Self-aligned process for capping copper lines.
  20. Fuller Clyde R. (Plano TX) Delaney Joseph B. (Dallas TX) Skinner Robbie W. (Plano TX), Semiconductor circuit having metallization with TiW.
  21. Dennison Charles H. (Boise ID), Semiconductor electrical interconnection methods.
  22. Carey David H. (Austin TX) Pietila Douglass A. (Puyallup WA) Sigmond David M. (Austin TX), Trenching techniques for forming channels, vias and components in substrates.
  23. Cote William J. (Essex Junction VT) Kaanta Carter W. (Colchester VT) Leach Michael A. (Winooski VT) Paulsen James K. (Jericho VT), Via-filling and planarization technique.

이 특허를 인용한 특허 (309)

  1. Cohen, Uri, Advanced seed layers for interconnects.
  2. Cohen,Uri, Advanced seed layery for metallic interconnects.
  3. Lur,Water; Lee,David; Wang,Kuang Chih; Yang,Ming Sheng, Air gap for tungsten/aluminum plug applications.
  4. Lur,Water; Lee,David; Wang,Kuang Chih; Yang,Ming Sheng, Air gap formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device.
  5. Lur, Water; Lee, David; Wang, Kuang-Chih; Yang, Ming-Sheng, Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device.
  6. Chen Jeng-Horng,TWX ; Shih Tsu,TWX ; Chang Jui-Yu,TWX ; Chang Chung-Long,TWX, Alignment method for used in chemical mechanical polishing process.
  7. Subramanian Ramkumar ; Singh Bhanwar ; Chan Simon ; Wang Fei, Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication.
  8. Cohen, Uri, Apparatus for depositing seed layers.
  9. Ritzdorf, Thomas L.; Stevens, E. Henry; Chen, LinLin; Graham, Lyndon W.; Dundas, Curt, Apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device.
  10. Cohen, Uri, Apparatus for making interconnect seed layers and products.
  11. Swindlehurst,Susan; Hadley,Mark A.; Drzaic,Paul S.; Craig,Gordon S. W.; Gengel,Glenn; Hermann,Scott; Tootoochi,Aly; Eisenhardt,Randolph W., Apparatus incorporating small-feature-size and large-feature-size components and method for making same.
  12. Woo, Christy Mei-Chu; Joo, Young-Chang; Lukanc, Todd, Barrier layer integrity test.
  13. Dixit, Girish A.; Chen, Fusen, Bi-layer etch stop for inter-level via.
  14. Hong-Sik Jeong KR; Won-Suk Yang KR; Ki-Nam Kim KR, Bit line landing pad and borderless contact on bit line stud with etch stop layer and manufacturing method thereof.
  15. Yang, Won-Suk; Hwang, Yoo-Sang; Jeong, Hong-Sik; Kim, Ki-Nam, Bit line landing pad and borderless contact on bit line stud with localized etch stop layer and manufacturing method thereof.
  16. Yang, Won-Suk; Hwang, Yoo-Sang; Jeong, Hong-Sik; Kim, Ki-Nam, Bit line landing pad and borderless contact on bit line stud with localized etch stop layer and manufacturing method thereof.
  17. Yang, Won-Suk; Song, Sang-Ho; Jeong, Hong-Sik; Kim, Ki-Nam, Bit line pad and borderless contact on bit line stud with localized etch stop layer formed in an undermined region.
  18. Tsai Chao-Chieh,TWX ; Ho Chin-Hsiung ; Sun Yuan-Chen, Borderless contact.
  19. Cote, William J.; Edelstein, Daniel C.; Lustig, Naftali E., Chemical-mechanical planarization of barriers or liners for copper metallurgy.
  20. William J. Cote ; Daniel C. Edelstein ; Naftali E. Lustig, Chemical-mechanical planarization of barriers or liners for copper metallurgy.
  21. Kevin J. Lee, Chemical-mechanical polishing slurry.
  22. Lee Kevin J., Chemical-mechanical polishing slurry.
  23. Lee Kevin J., Chemical-mechanical polishing slurry.
  24. Lee, Jin-Yuan; Lin, Mou-Shiung; Huang, Ching-Cheng, Chip structure and process for forming the same.
  25. Lee, Jin-Yuan; Lin, Mou-Shiung; Huang, Ching-Cheng, Chip structure and process for forming the same.
  26. Lee,Jin Yuan; Lin,Mou Shiung; Huang,Ching Cheng, Chip structure and process for forming the same.
  27. Lin, Mou-Shiung; Lee, Jin-Yuan; Huang, Ching-Cheng, Chip structure and process for forming the same.
  28. Lin, Mou-Shiung; Lee, Jin-Yuan; Huang, Ching-Cheng, Chip structure and process for forming the same.
  29. Lin,Mou Shiung; Lee,Jin Yuan; Huang,Ching Cheng, Chip structure and process for forming the same.
  30. Cohen, Uri, Combined conformal/non-conformal seed layers for metallic interconnects.
  31. McTeer Allen, Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with c.
  32. McTeer Allen, Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with copper.
  33. Islam Rabiul ; Gelatos Avgerinos V. ; Lucas Kevin ; Filipiak Stanley M. ; Venkatraman Ramnath, Copper interconnect structure and method of formation.
  34. Chan Lap ; Zheng Jia Zhen,SGX, Copper interconnect with top barrier layer.
  35. Tang Betty ; Ding Jian, Counterbore dielectric plasma etch process particularly useful for dual damascene.
  36. Chan Lap ; Zheng Jia Zhen,SGX, Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer.
  37. Rhodes,Howard E., Damascene processes for forming conductive structures.
  38. Bao Tien-I.,TWX ; Jang Syun-Ming,TWX, Delamination improvement between Cu and dielectrics for damascene process.
  39. Roberts, Brent M.; Srinivasan, Sriram, Direct power delivery into an electronic package.
  40. Yamazaki, Shunpei; Takayama, Toru; Murakami, Satoshi; Kimura, Hajime, Display device.
  41. Hayakawa, Masahiko; Murakami, Satoshi; Yamazaki, Shunpei; Akimoto, Kengo, Display device and method of fabricating the same.
  42. Yamazaki, Shunpei; Takayama, Toru; Murakami, Satoshi; Kimura, Hajime, Display device including an opening formed in a gate insulating film, a passivation film, and a barrier film.
  43. Yamazaki, Shunpei; Takayama, Toru; Murakami, Satoshi; Kimura, Hajime, Display device with capacitor elements.
  44. Fei Wang ; Jerry Cheng ; Simon S. Chan ; Todd Lukanc, Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers.
  45. Wang Fei ; Cheng Jerry ; Chan Simon S. ; Lukanc Todd, Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers.
  46. Fei Wang ; Jerry Cheng ; Darrell M. Erb, Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer.
  47. Wang Fei ; Cheng Jerry ; Lukanc Todd, Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer.
  48. Greco Stephen E. ; Hummel John P. ; Liu Joyce ; McGahay Vincent J. ; Mih Rebecca ; Srivastava Kamalesh, Dual damascene flowable oxide insulation structure and metallic barrier.
  49. Greco, Stephen E.; Hummel, John P.; Liu, Joyce; McGahay, Vincent J.; Mih, Rebecca; Srivastava, Kamalesh, Dual damascene flowable oxide insulation structure and metallic barrier.
  50. Rangarajan Bharath ; Subramanian Ramkumar ; Singh Bhanwar, Dual damascene method for backened metallization using poly stop layers.
  51. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Dual damascene patterned conductor layer formation method.
  52. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Dual damascene patterned conductor layer formation method without etch stop layer.
  53. Kang-Cheng Lin TW, Dual damascene process and structure with dielectric barrier layer.
  54. Lin Kang-Cheng,TWX, Dual damascene process and structure with dielectric barrier layer.
  55. Bin Zhao ; Liming Tsau, Dual-damascene interconnect structures and methods of fabricating same.
  56. Miller, Steven A.; Gaydos, Mark; Shekhter, Leonid N.; Gulsoy, Gokce, Dynamic dehydriding of refractory metal powders.
  57. Miller, Steven A.; Gaydos, Mark; Shekhter, Leonid N.; Gulsoy, Gokce, Dynamic dehydriding of refractory metal powders.
  58. Miller, Steven A.; Gaydos, Mark; Shekhter, Leonid N.; Gulsoy, Gokce, Dynamic dehydriding of refractory metal powders.
  59. Zheng, Bo; He, Renren; Dixit, Girish, ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature.
  60. Jacobsen,Jeffrey Jay; Gengel,Glenn Wilhelm; Hadley,Mark A.; Craig,Gordon S. W.; Smith,John Stephen, Electronic devices with small functional elements supported on a carrier.
  61. Okamoto, Shigeru, Embedded electroconductive layer structure.
  62. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  63. Brase, Gabriela; Grandremy, Gregoire, Etching process for a two-layer metallization.
  64. Lee Tze-Liang,TWX, Fabrication process for copper structures.
  65. Miller, Steven A.; Kumar, Prabhat; Wu, Richard; Sun, Shuwei; Zimmermann, Stefan; Schmidt-Park, Olaf, Fine grained, non banded, refractory metal sputtering targets with a uniformly random crystallographic orientation, method for making such film, and thin film based devices and products made therefrom.
  66. McTeer Allen, Formation of electrical contacts to conductive elements in the fabrication of semiconductor integrated circuits.
  67. Bohr,Mark T., Hermetic passivation structure with low capacitance.
  68. Chao Li-Chih,TWX ; Tsai Chia-Shiung,TWX ; Fu Chu-Yun,TWX ; Liaw Jhon-Jhy,TWX, High selectivity etching stop layer for damascene process.
  69. Ikemasu,Shinichiroh; Okawa,Narumi, Highly integrated and reliable DRAM.
  70. Shinichiroh Ikemasu JP; Narumi Okawa JP, Highly integrated and reliable DRAM adapted for self-aligned contact.
  71. Ikemasu, Shinichiroh; Okawa, Narumi, Highly integrated and reliable DRAM and its manufacture.
  72. Ikemasu, Shinichiroh; Okawa, Narumi, Highly integrated and reliable DRAM and its manufacture.
  73. Zhao Bin ; Brongo Maureen R., IC interconnect structures and methods for making same.
  74. Vincent J. McGahay ; John P. Hummel ; Joyce Liu ; Rebecca Mih ; Kamalesh Srivastava ; Robert Cook ; Stephen E. Greco, In situ formation of protective layer on silsesquioxane dielectric for dual damascene process.
  75. Ikemasu, Shinichiroh; Okawa, Narumi, Insulation structure for wiring which is suitable for self-aligned contact and multilevel wiring.
  76. Engelhardt, Manfred, Integrated circuit configuration using spacers as a diffusion barrier and method of producing such an integrated circuit configuration.
  77. Dennison,Charles H.; Doan,Trung T., Integrated circuit contact.
  78. Woo, Christy Mei-Chu; Cheng, Ning; Yao, Huade Walter, Integrated circuit contact system.
  79. Harvey Ian, Integrated circuit device interconnection techniques.
  80. Bandyopadhyay Basab ; Fulford ; Jr. H. Jim ; Dawson Robert ; Hause Fred N. ; Michael Mark W. ; Brennan William S., Integrated circuit having conductors of enhanced cross-sectional area.
  81. Mehul B. Naik ; Suketu A. Parikh, Integrated circuit interconnect lines having sidewall layers.
  82. Berthold,J철rg; Schwarzl,Siegfried, Integrated electrical circuit and method for fabricating it.
  83. Ou, Ya; Ponoth, Shom; Spooner, Terry A., Interconnect structure and method of making same.
  84. Ou, Ya; Ponoth, Shom; Spooner, Terry A., Interconnect structure and method of making same.
  85. Ou, Ya; Ponoth, Shom; Spooner, Terry A., Interconnect structure and method of making same.
  86. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Interconnect structures and a method of electroless introduction of interconnect structures.
  87. Dubin, Valery M.; Cheng, Chin-Chang; Hussein, Makarem; Nguyen, Phi L.; Brain, Ruth A., Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs.
  88. Stamper Anthony K., Interconnection with integrated corrosion stop.
  89. Clampitt Darwin A., Interconnections for semiconductor circuits.
  90. Cook Robert ; Greco Stephen E. ; Hummel John P. ; Liu Joyce ; McGahay Vincent J. ; Mih Rebecca ; Srivastava Kamalesh, Interim oxidation of silsesquioxane dielectric for dual damascene process.
  91. Dary, Francois-Charles; Gaydos, Mark; Loewenthal, William; Miller, Steven A.; Rozak, Gary; Volchko, Scott Jeffrey; Zimmermann, Stefan; Stawovy, Michael Thomas, Large-area sputtering targets.
  92. Dixit Girish A. ; Konecni Anthony ; Havemann Robert H., Low pressure, low temperature, semiconductor gap filling process.
  93. Dixit, Girish A.; Konecni, Anthony; Havemann, Robert H., Low pressure, low temperature, semiconductor gap filling process.
  94. Miller, Steven A.; Kumar, Prabhat, Low-energy method of manufacturing bulk metallic structures with submicron grain sizes.
  95. Cathey, David A.; Doan, Trung T., MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer.
  96. Saccomanno, Robert J.; West, Gary A., Metallic coated dielectric substrates.
  97. Cohen, Uri, Metallic interconnects products.
  98. Stimson, Bradley O., Method and apparatus for bias deposition in a modulating electric field.
  99. Hashim Imran ; Chiang Tony ; Chin Barry, Method and apparatus for forming improved metal interconnects.
  100. Hashim, Imran; Chiang, Tony; Chin, Barry, Method and apparatus for forming improved metal interconnects.
  101. Hashim, Imran; Chiang, Tony; Chin, Barry, Method and apparatus for forming improved metal interconnects.
  102. Hashim,Imran; Chiang,Tony; Chin,Barry, Method and apparatus for forming improved metal interconnects.
  103. Ritzdorf,Thomas L.; Stevens,E. Henry; Chen,LinLin; Graham,Lyndon W.; Dundas,Curt, Method and apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device.
  104. Dennison, Charles H.; Doan, Trung T., Method for an integrated circuit contact.
  105. Dennison, Charles H.; Doan, Trung T., Method for an integrated circuit contact.
  106. Dennison, Charles H; Doan, Trung T., Method for an integrated circuit contact.
  107. Dennison,Charles H; Doan,Trung T., Method for an integrated circuit contact.
  108. Dennison,Charles H; Doan,Trung T., Method for an integrated circuit contact.
  109. Downey, Stephen Ward; Yen, Allen; Wolf, Thomas Michael; Murphey, Paul B., Method for avoiding notching in a semiconductor interconnect during a metal etching step.
  110. Zimmermann, Stefan; Papp, Uwe; Kreye, Heinrich; Schmidt, Tobias, Method for coating a substrate surface and coated product.
  111. Hautala, John J.; Baxter, Nathan E.; Yamashita, Koji, Method for enhancing a substrate using gas cluster ion beam processing.
  112. Yamada Yoshiaki,JPX, Method for fabricating a semiconductor device having a refractory metal pillar for electrical connection.
  113. Lin, Mou-Shiung; Lee, Jin-Yuan; Huang, Ching-Cheng, Method for fabricating circuitry component.
  114. Givens John H. ; Lane Richard H., Method for fabricating conductive components in microelectronic devices and substrate structures therefor.
  115. Givens John H. ; Lane Richard H., Method for fabricating conductive components in microelectronic devices and substrate structures thereof.
  116. Ritzdorf, Thomas L.; Graham, Lyndon W., Method for filling recessed micro-structures with metallization in the production of a microelectronic device.
  117. Ritzdorf,Thomas L.; Graham,Lyndon W., Method for filling recessed micro-structures with metallization in the production of a microelectronic device.
  118. Fazan,Pierre C.; Mathews,Viju K., Method for forming a storage cell capacitor compatible with high dielectric constant materials.
  119. Fazan,Pierre C.; Mathews,Viju K., Method for forming a storage cell capacitor compatible with high dielectric constant materials.
  120. Fazan,Pierre C.; Mathews,Viju K., Method for forming a storage cell capacitor compatible with high dielectric constant materials.
  121. Choi,Kyung In; Kang,Sang Bom; Park,Seong Geon; Lee,You Kyoung; Choi,Gil Heyun; Lee,Jong Myeong; Lee,Sang Woo, Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same.
  122. Choi,Kyung In; Kang,Sang Bom; Park,Seong Geon; Lee,You Kyoung; Choi,Gil Heyun; Lee,Jong Myeong; Lee,Sang Woo, Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same.
  123. Lee Won-Jun,KRX, Method for forming an interconnection in a semiconductor device.
  124. Chiang Chien ; Fraser David B., Method for forming multileves interconnections for semiconductor fabrication.
  125. Ritzdorf,Thomas L.; Stevens,E. Henry; Chen,LinLin; Graham,Lyndon W.; Dundas,Curt, Method for low temperature annealing of metallization micro-structures in the production of a microelectronic device.
  126. Tsui Bing-Yue,TWX, Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrat.
  127. Hautala, John J.; Baxter, Nathan E., Method for modifying a material layer using gas cluster ion beam processing.
  128. Wu Kun-Lin,TWX ; Lu Horng-Bor,TWX, Method for preventing poisoned vias and trenches.
  129. Zhang, Hongyong, Method for producing a semiconductor integrated circuit including a thin film transistor and a capacitor.
  130. Zhang, Hongyong, Method for producing a semiconductor integrated circuit including a thin film transistor and a capacitor.
  131. Clevenger, Lawrence A.; Nesbit, Larry A., Method for producing dual damascene interconnections and structure produced thereby.
  132. Chen, Xiaomeng; Cote, William; Stamper, Anthony K.; Winslow, Arthur C., Method for reducing amine based contaminants.
  133. Chen, Xiaomeng; Cote, William; Stamper, Anthony K; Winslow, Arthur C, Method for reducing amine based contaminants.
  134. Dunton, Samuel V.; Petti, Christopher J.; Raghuram, Usha, Method for reducing dielectric overetch using a dielectric etch stop at a planar surface.
  135. Dunton, Samuel V.; Raghuram, Usha; Petti, Christopher J., Method for reducing dielectric overetch using a dielectric etch stop at a planar surface.
  136. Dunton,Samuel V; Petti,Christopher J; Raghuram,Usha, Method for reducing dielectric overetch using a dielectric etch stop at a planar surface.
  137. Petti, Christopher J, Method for reducing dielectric overetch when making contact to conductive features.
  138. Petti, Christopher J., Method for reducing dielectric overetch when making contact to conductive features.
  139. Petti, Christopher J., Method for reducing dielectric overetch when making contact to conductive features.
  140. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX ; Bao Tien-I,TWX ; Jang Syun-Ming,TWX, Method for selective growth of Cu.sub.3 Ge or Cu.sub.5 Si for passivation of damascene copper structures and device manufactured thereby.
  141. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX ; Bao Tien-I,TWX ; Jang Syun-Ming,TWX, Method for selective growth of Cu3Ge or Cu5Si for passivation of damascene copper structures and device manufactured thereby.
  142. Yu, Chen-Hua; Yeh, Chen-Nan; Yao, Chih-Hsiang; Wan, Wen-Kai; Cheng, Jye-Yen, Method for stacked contact with low aspect ratio.
  143. Hussein, Makarem A.; Brain, Ruth; Turklot, Robert; Sivakumar, Sam, Method integrating polymeric interlayer dielectric in integrated circuits.
  144. Armacost Michael David ; Dobuzinsky David Mark ; Gambino Jeffery Peter ; Jaso Mark Anthony, Method of chemically mechanically polishing an electronic component using a non-selective ammonium hydroxide slurry.
  145. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Method of electroless introduction of interconnect structures.
  146. Kazuhide Abe JP, Method of embedding contact hole by damascene method.
  147. Sergey D. Lopatin ; Robin W. Cheung, Method of encapsulated copper (Cu) interconnect formation.
  148. Lo Yung-Tsun,TWX ; Ho Wen-Yu,TWX ; Hsieh Sung-Chun,TWX, Method of fabricating a bonding pad structure for improving the bonding pad surface quality.
  149. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method of fabricating a damascene structure for copper medullization.
  150. Trivedi, Jigish D., Method of fabricating a stacked local interconnect structure.
  151. Hayakawa, Masahiko; Murakami, Satoshi; Yamazaki, Shunpei; Akimoto, Kengo, Method of fabricating display device.
  152. Trivedi,Jigish D., Method of fabricating stacked local interconnect structure.
  153. Cheek Jon ; Kadosh Daniel ; Wristers Derick J., Method of forming a conductive plug in an interlevel dielectric.
  154. Gardner Mark I. ; Kadosh Daniel ; Hause Frederick N., Method of forming a contact hole in an interlevel dielectric layer using dual etch stops.
  155. Bandyopadhyay Basab ; Fulford ; Jr. H. Jim ; Brennan William S. ; Hause Fred N. ; Dawson Robert ; Michael Mark W., Method of forming an integrated circuit having conductors of enhanced cross-sectional area with etch stop barrier layer.
  156. McTeer,Allen, Method of forming an interconnect structure for a semiconductor device.
  157. Ronay Maria, Method of forming conductive lines on a semiconductor wafer.
  158. Huang, Yimin; Yew, Tri-Rung, Method of forming dual damascene structure.
  159. Huang, Yimin; Yew, Tri-Rung, Method of forming dual damascene structure.
  160. Zhao, Bin; Brongo, Maureen R., Method of forming dual-damascene interconnect structures employing low-k dielectric materials.
  161. Higashitani, Masaaki, Method of forming low resistance void-free contacts.
  162. Taguchi Mitsuru,JPX ; Maeda Keiichi,JPX, Method of forming wirings.
  163. Andricacos Panayotis C. ; Horkans W. Jean ; Kwietniak Keith T. ; Locke Peter S. ; Uzoh Cyprian E., Method of improving contact reliability for electroplating.
  164. Schofield Kevin H., Method of laser ablation of semiconductor structures.
  165. Chan Lap ; Zheng Jia Zhen,SGX, Method of making a copper interconnect with top barrier layer.
  166. Credelle, Thomas Lloyd; Gengel, Glenn; Stewart, Roger Green; Joseph, William Hill, Method of making a radio frequency identification (RFID) tag.
  167. Matsuura Masazumi,JPX, Method of making a semiconductor device.
  168. Pellerin John G. ; Werner Thomas,DEX, Method of making dual damascene conductive interconnections and integrated circuit device comprising same.
  169. Liu Chung-Shi,TWX, Method of preparing CU interconnect lines.
  170. Lo Yung Tsun,TWX ; Yi Guan Jiun,TWX ; Lin Chi Hen,TWX ; Jih Jyh Ming,TWX, Method of preventing defects and particles produced after tungsten etch back.
  171. Engelhardt,Manfred, Method of producing an integrated circuit configuration.
  172. Chen,LinLin; Graham,Lyndon W.; Ritzdorf,Thomas L.; Fulton,Dakin; Batz, Jr.,Robert W., Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density.
  173. Yeow Kheng Lim SG; Alex See SG; Cher Liang Cha SG; Subhash Gupta SG; Wang Ling Goh SG; Man Siu Tse SG, Method to form high performance copper damascene interconnects by de-coupling via and metal line filling.
  174. Wang Fei ; Lyons Christopher F. ; Nguyen Khanh B. ; Bell Scott A. ; Levinson Harry J. ; Yang Chih Yuh, Method using a thin resist mask for dual damascene stop layer etch.
  175. Hendricks Neil H. ; Towery Daniel L., Methods for chemical mechanical polish of organic polymer dielectric films.
  176. Lee,Date Gun, Methods for fabricating a semiconductor device with etch end point detection.
  177. Rhodes, Howard E., Methods for fabricating and forming semiconductor device structures including damascene structures.
  178. Stamper Anthony K., Methods for forming metal interconnects.
  179. Credelle,Thomas Lloyd; Gengel,Glenn; Stewart,Roger Green; Joseph,William Hill, Methods for making electronic devices with small functional elements supported on a carriers.
  180. Cohen, Uri, Methods for making multiple seed layers for metallic interconnects.
  181. Givens, John H.; Jost, Mark E., Methods for utilization of disappearing silicon hard mask for fabrication of semiconductor structures.
  182. Yoo Bong-Young,KRX ; Choi Si-Young,KRX, Methods of forming electrical interconnects on semiconductor substrates.
  183. Miller, Steven A.; Schmidt-Park, Olaf; Kumar, Prabhat; Wu, Richard; Sun, Shuwei; Zimmermann, Stefan, Methods of forming sputtering targets.
  184. Miller, Steven A.; Shekhter, Leonid N.; Zimmerman, Stefan, Methods of joining metallic protective layers.
  185. Miller, Steven A.; Shekhter, Leonid N.; Zimmermann, Stefan, Methods of joining metallic protective layers.
  186. Miller, Steven A.; Shekhter, Leonid N.; Zimmermann, Stefan, Methods of joining metallic protective layers.
  187. Miller, Steven A.; Shekhter, Leonid N.; Zimmerman, Stefan, Methods of joining protective metal-clad structures.
  188. Credelle, Thomas Lloyd; Gengel, Glenn; Stewart, Roger Green; Joseph, William Hill, Methods of making a radio frequency identification (RFID) tags.
  189. Volchko, Scott Jeffrey; Zimmermann, Stefan; Miller, Steven A.; Stawovy, Michael Thomas, Methods of manufacturing high-strength large-area sputtering targets.
  190. Loewenthal, William; Miller, Steven Alfred, Methods of manufacturing large-area sputtering targets.
  191. Miller, Steven A.; Dary, Francois-Charles; Gaydos, Mark; Rozak, Gary, Methods of manufacturing large-area sputtering targets by cold spray.
  192. Volchko, Scott Jeffrey; Loewenthal, William; Zimmermann, Stefan; Gaydos, Mark; Miller, Steven Alfred, Methods of manufacturing large-area sputtering targets using interlocking joints.
  193. Volchko, Scott Jeffrey; Loewenthal, William; Zimmermann, Stefan; Gaydos, Mark; Miller, Steven Alfred, Methods of manufacturing large-area sputtering targets using interlocking joints.
  194. Miller, Steven A.; Kumar, Prabhat; Wu, Rong-chein Richard; Sun, Shuwei; Zimmermann, Stefan; Schmidt-Park, Olaf, Methods of rejuvenating sputtering targets.
  195. Miller, Steven A.; Schmidt-Park, Olaf; Kumar, Prabhat; Wu, Richard; Sun, Shuwei; Zimmerman, Stefan, Methods of rejuvenating sputtering targets.
  196. Lin Kang-Cheng,TWX, Modified dual damascene process.
  197. Cohen,Uri, Multiple seed layers for interconnects.
  198. Cohen, Uri, Multiple seed layers for metallic interconnects.
  199. Wirth, Ralph, Optoelectronic semiconductor chip and method for forming a contact structure for making electrical contact with an optoelectronic semiconductor chip.
  200. Chan Lap ; Yap Kuan Pei,MYX ; Tee Kheng Chok,MYX ; Ip Flora S.,SGX ; Loh Wye Boon,MYX, Passivation of copper interconnect surfaces with a passivating metal layer.
  201. Krishna Seshan ; Neal R. Mielke, Planar guard ring.
  202. Seshan Krishna ; Mielke Neal R., Planar guard ring.
  203. Betty Tang ; Jian Ding, Plasma etch process in a single inter-level dielectric etch.
  204. Dalton, Timothy J.; Hummel, John P., Post metalization chem-mech polishing dielectric etch.
  205. Choi,Hok Kin; Thirumala,Vani; Dubin,Valery; Cheng,Chin chang; Zhong,Ting, Preparation of electroless deposition solutions.
  206. Bronner Gary B. ; Gambino Jeffrey P., Process for controlling the height of a stud intersecting an interconnect.
  207. Aoyama, Hisako; Suguro, Kyoichi; Niiyama, Hiromi; Tamura, Hitoshi; Hayashi, Hisataka; Aoyama, Tomonori; Minamihaba, Gaku; Iijima, Tadashi, Process for fabricating a metal wiring and metal contact in a semicondutor device.
  208. Ong T. P. ; Fiordalice Robert W. ; Venkatraman Ramnath ; Weitzman Elizabeth J., Process for fabricating a metallized interconnect.
  209. Watanabe Joy Kimi ; Stankus John Joseph, Process for forming a semiconductor device.
  210. DeHaven Patrick W. ; Domenicucci Anthony G. ; Gignac Lynne M. ; Miles Glen L. ; Tiwari Prabhat ; Wang Yun-Yu ; Wildman Horatio S. ; Wong Kwong Hon, Process for manufacturing a contact barrier.
  211. DeHaven, Patrick W.; Domenicucci, Anthony G.; Gignac, Lynne M.; Miles, Glen L.; Tiwari, Prabhat; Wang, Yun-Yu; Wildman, Horatio S.; Wong, Kwong Hon, Process for manufacturing a contact barrier.
  212. Shekhter, Leonid N.; Miller, Steven A.; Haywiser, Leah F.; Wu, Rong-Chein R., Process for preparing metal powders having low oxygen content, powders so-produced and uses thereof.
  213. Shekhter, Leonid N.; Miller, Steven A.; Haywiser, Leah F.; Wu, Rong-Chein Richard, Process for preparing metal powders having low oxygen content, powders so-produced and uses thereof.
  214. Gross Michal Edith ; Lingk Christoph, Process for semiconductor device fabrication having copper interconnects.
  215. Miller, Steven A.; Shekhter, Leonid N.; Zimmerman, Stefan, Protective metal-clad structures.
  216. Forster, John; Gopalraja, Praburam; Stimson, Bradley O.; Hong, Liubo, Pulsed-mode RF bias for side-wall coverage improvement.
  217. Jammy, Rajarao; Mandelman, Jack A., Quantum conductive barrier for contact to shallow diffusion region.
  218. Gengel, Glenn W.; Hadley, Mark A.; Pounds, Tom; Schatz, Kenneth D.; Drzaic, Paul S., RFID tags and processes for producing RFID tags.
  219. Gengel, Glenn W.; Hadley, Mark A.; Pounds, Tom; Schatz, Kenneth D.; Drzaic, Paul S., RFID tags and processes for producing RFID tags.
  220. Gengel, Glenn W.; Hadley, Mark A.; Pounds, Tom; Schatz, Kenneth D.; Drzaic, Paul S., RFID tags and processes for producing RFID tags.
  221. Gengel, Glenn W.; Hadley, Mark A.; Pounds, Torn; Schatz, Kenneth D.; Drzaic, Paul S., RFID tags and processes for producing RFID tags.
  222. Gengel,Glenn W.; Hadley,Mark A.; Pounds,Tom; Schatz,Kenneth D.; Drzaic,Paul S., RFID tags and processes for producing RFID tags.
  223. Gengel,Glenn W.; Hadley,Mark A.; Pounds,Tom; Schatz,Kenneth D.; Drzaic,Paul S., RFID tags and processes for producing RFID tags.
  224. Carrender, Curt, Radio frequency identification (RFID) tag for an item having a conductive layer included or attached.
  225. Carrender, Curt, Radio frequency identification (RFID) tag for an item having a conductive layer included or attached.
  226. Carrender, Curt, Radio frequency identification (RFID) tag for an item having a conductive layer included or attached.
  227. Forester Lynn ; Choi Dong K. ; Hosseini Reza, Removal rate behavior of spin-on dielectrics with chemical mechanical polish.
  228. Cohen, Uri, Seed layers for interconnects and methods and apparatus for their fabrication.
  229. Cohen, Uri, Seed layers for metallic interconnects.
  230. Cohen,Uri, Seed layers for metallic interconnects.
  231. Cohen, Uri, Seed layers for metallic interconnects and products.
  232. Kim Jae-Jeong,KRX, Selective copper deposition method.
  233. Aegerter,Brian K.; Dundas,Curt T.; Ritzdorf,Tom L.; Curtis,Gary L.; Jolley,Michael; Peace,Steven L., Selective treatment of microelectric workpiece surfaces.
  234. Wang Fei ; Cheng Jerry ; Lukanc Todd, Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer.
  235. Wang Fei ; Cheng Jerry ; Erb Darrell M., Self-aligned dual damascene arrangement for metal interconnection with oxide dielectric layer and low k dielectric constant layer.
  236. Clampitt Darwin A., Semiconductor circuit interconnections and methods of making such interconnections.
  237. Takemura, Yasuhiko; Teramoto, Satoshi, Semiconductor device and a method for manufacturing the same.
  238. Takemura, Yasuhiko; Teramoto, Satoshi, Semiconductor device and a method for manufacturing the same.
  239. Takemura, Yasuhiko; Teramoto, Satoshi, Semiconductor device and a method for manufacturing the same.
  240. Takeda,Kenichi; Ryuzaki,Daisuke; Hinode,Kenji; Mine,Toshiyuki, Semiconductor device and method manufacturing the same.
  241. Matsuno Tadashi,JPX, Semiconductor device and method of manufacturing the same.
  242. Iwasaki, Tomio; Miura, Hideo; Nakajima, Takashi; Ohta, Hiroyuki; Nishihara, Shinji; Sahara, Masashi, Semiconductor device having a capacitor and a metal interconnect layer with tungsten as a main constituent material and containing molybdenum.
  243. Dennison,Charles H.; Doan,Trung T., Semiconductor device having integrated circuit contact.
  244. Mucha, John A., Semiconductor device having multilevel interconnections and method of manufacture thereof.
  245. Clevenger,Lawrence A.; Nesbit,Larry A., Semiconductor device including dual damascene interconnections.
  246. Yamazaki, Shunpei; Murakami, Satoshi; Hayakawa, Masahiko; Kato, Kiyoshi; Osame, Mitsuaki; Hirosure, Takashi; Fujikawa, Saishi, Semiconductor device including multiple insulating films.
  247. Yamazaki, Shunpei; Murakami, Satoshi; Hayakawa, Masahiko; Kato, Kiyoshi; Osame, Mitsuaki; Hirosure, Takashi; Fujikawa, Saishi, Semiconductor device including multiple insulating films.
  248. Yoshizawa,Kazutaka; Ikemasu,Shinichiroh, Semiconductor device including titanium wires and manufacturing method therefor.
  249. Rhodes, Howard E., Semiconductor device structures including damascene trenches with conductive structures and related method.
  250. Lee,Jae Hoon; Nam,Kung Hyon, Semiconductor device wiring and method of manufacturing the same.
  251. Toru Watanabe JP; Katsuya Okumura JP, Semiconductor device with a dual damascene type via contact structure and method for the manufacture of same.
  252. Koyama Kazuhide,JPX, Semiconductor device with improved trench interconnected to connection plug mating and method of making same.
  253. Sakata, Toyokazu; Inui, Hidenori, Semiconductor device with reduced interconnection capacity.
  254. Sakata,Toyokazu; Inui,Hidenori, Semiconductor device with reduced interconnection capacity.
  255. Rhodes, Howard E., Semiconductor devices including damascene trenches with conductive structures.
  256. Yamazaki, Shunpei; Murakami, Satoshi; Hayakawa, Masahiko; Kato, Kiyoshi; Osame, Mitsuaki, Semiconductor display device.
  257. Yamazaki, Shunpei; Murakami, Satoshi; Hayakawa, Masahiko; Kato, Kiyoshi; Osame, Mitsuaki, Semiconductor display device.
  258. Yamazaki, Shunpei; Murakami, Satoshi; Hayakawa, Masahiko; Kato, Kiyoshi; Osame, Mitsuaki, Semiconductor display device.
  259. Yamazaki, Shunpei; Murakami, Satoshi; Hayakawa, Masahiko; Kato, Kiyoshi; Osame, Mitsuaki, Semiconductor display device.
  260. Yamazaki, Shunpei; Murakami, Satoshi; Hayakawa, Masahiko; Kato, Kiyoshi; Osame, Mitsuaki, Semiconductor display device.
  261. Yamazaki, Shunpei; Murakami, Satoshi; Hayakawa, Masahiko; Kato, Kiyoshi; Osame, Mitsuaki, Semiconductor display device.
  262. Yamazaki, Shunpei; Murakami, Satoshi; Hayakawa, Masahiko; Kato, Kiyoshi; Osame, Mitsuaki; Hirosue, Takashi; Fujikawa, Saishi, Semiconductor display device.
  263. Charles H. Dennison, Semiconductor electrical interconnection methods.
  264. Murakami, Satoshi; Hayakawa, Masahiko; Yamazaki, Shunpei, Semiconductor element and display device using the same.
  265. Murakami, Satoshi; Hayakawa, Masahiko; Yamazaki, Shunpei, Semiconductor element and display device using the same.
  266. Murakami, Satoshi; Hayakawa, Masahiko; Yamazaki, Shunpei, Semiconductor element and display device using the same.
  267. Murakami, Satoshi; Hayakawa, Masahiko; Yamazaki, Shunpei, Semiconductor element and display device using the same.
  268. Murakami, Satoshi; Hayakawa, Masahiko; Yamazaki, Shunpei, Semiconductor element and display device using the same.
  269. Murakami, Satoshi; Hayakawa, Masahiko; Yamazaki, Shunpei, Semiconductor element and display device using the same.
  270. Murakami, Satoshi; Hayakawa, Masahiko; Yamazaki, Shunpei, Semiconductor element and display device using the same.
  271. Murakami, Satoshi; Hayakawa, Masahiko; Yamazaki, Shunpei, Semiconductor element and display device using the same.
  272. Murakami, Satoshi; Hayakawa, Masahiko; Yamazaki, Shunpei, Semiconductor element and display device using the same.
  273. Saito, Tatsuyuki; Noguchi, Junji; Yamaguchi, Hizuru; Owada, Nobuo, Semiconductor integrated circuit device and fabrication process thereof.
  274. Ikemasu, Shinichiroh; Okawa, Narumi, Semiconductor memory device having electrical connection by side contact.
  275. Seshan,Krishna; Dass,M. Lawrence A.; Bakker,Geoffrey L., Semiconductor passivation deposition process for interfacial adhesion.
  276. Givens, John H., Semiconductor processing methods of forming integrated circuitry.
  277. Givens John H., Semiconductor processing methods of forming integrated circuitry and integrated circuitry constructions.
  278. Ema, Taiji; Anezaki, Tohru, Semiconductor storage device and method for fabricating the same.
  279. Chen, Xiaomeng; Cote, William; Stamper, Anthony K.; Winslow, Arthur C., Semiconductor structure having reduced amine-based contaminants.
  280. Bao, Tien-I; Shwang-Ming, Jeng; Jang, Syun-Ming; Yu, Chen-Hua; Lee, Kuen-Chyr, Solution to the problem of copper hillocks.
  281. Yu, Chen-Hua; Yeh, Chen-Nan; Yao, Chih-Hsiang; Wan, Wen-Kai; Cheng, Jye-Yen, Stacked contact with low aspect ratio.
  282. Jigish D. Trivedi, Stacked local interconnect structure and method of fabricating same.
  283. Jigish D. Trivedi, Stacked local interconnect structure and method of fabricating same.
  284. Trivedi, Jigish D., Stacked local interconnect structure and method of fabricating same.
  285. Trivedi, Jigish D., Stacked local interconnect structure and method of fabricating same.
  286. Trivedi, Jigish D., Stacked local interconnect structure and method of fabricating same.
  287. Fazan,Pierre C.; Mathews,Viju K., Storage cell capacitor compatible with high dielectric constant materials.
  288. Tang, Sanh D., Structures formed using silicide cap as an etch stop in multilayer metal processes.
  289. Chen, Linlin; Graham, Lyndon W.; Ritzdorf, Thomas L.; Fulton, Dakin; Batz, Jr., Robert W., Submicron metallization using electrochemical deposition.
  290. Wing kei Au ; Albert H. Liu, System and method for preventing electrochemical erosion by depositing a protective film.
  291. Cheng Jerry ; Wang Fei, Tantalum barrier metal removal by using CF.sub.4 /o.sub.2 plasma dry etch.
  292. Takemura, Yasuhiko; Teramoto, Satoshi, Thin film transistor incorporating an integrated capacitor and pixel region.
  293. Roy Arjun Kar, Thin-film capacitors and methods for forming the same.
  294. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  295. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  296. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  297. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  298. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  299. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  300. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  301. McTeer, Allen, Use of AIN as cooper passivation layer and thermal conductor.
  302. Dubin,Valery M.; Cheng,Chin Chang; Hussein,Makarem; Nguyen,Phi L.; Brain,Ruth A., Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures.
  303. Tang Sanh D., Using silicide cap as an etch stop for multilayer metal process and structures so formed.
  304. Givens, John H.; Jost, Mark E., Utilization of disappearing silicon hard mask for fabrication of semiconductor structures.
  305. Givens, John H.; Jost, Mark E., Utilization of disappearing silicon hard mask for fabrication of semiconductor structures.
  306. John H. Givens ; Mark E. Jost, Utilization of disappearing silicon hard mask for fabrication of semiconductor structures.
  307. Bohr, Mark T., Wafer passivation structure and method of fabrication.
  308. Jacobsen,Jeffrey Jay; Gengel,Glenn Wilhelm; Hadley,Mark A.; Craig,Gordon S. W.; Smith,John Stephen, Web process interconnect in electronic assemblies.
  309. Jacobsen,Jeffrey Jay; Gengel,Glenn Wilhelm; Hadley,Mark A.; Craig,Gordon S. W.; Smith,John Stephen, Web process interconnect in electronic assemblies.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로