$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Plasma processing method 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H05H-001/00
출원번호 US-0463058 (1995-06-05)
우선권정보 JP-0254520 (1990-09-25); JP-0254521 (1990-09-25); JP-0254522 (1990-09-25)
발명자 / 주소
  • Miyanaga Akiharu (Kanagawa JPX) Inoue Tohru (Kanagawa JPX) Yamazaki Shunpei (Tokyo JPX)
출원인 / 주소
  • Semiconductor Energy Laboratory Co., Ltd. (Kanagawa-ken JPX 03)
인용정보 피인용 횟수 : 164  인용 특허 : 25

초록

A method for forming a film by a plasma CVD process in which a high density plasma is generated in the presence of a magnetic field is described, characterized by that the electric power for generating the plasma has a pulsed waveform. The electric power typically is supplied by microwave, and the p

대표청구항

A plasma processing method comprising the steps of: introducing a reactive gas into a reaction chamber; inputting pulsed high frequency electromagnetic waves to said reaction chamber in order to produce a plasma of said reactive gas; treating a substrate with said plasma in said chamber; wherein the

이 특허에 인용된 특허 (25)

  1. Kaneda Isao (Otsu JPX), Discharge lamp operating system.
  2. Yanagihara Kenji (Abiko JPX) Kimura Mituo (Yokohama JPX) Chawanya Hitoshi (Yokohama JPX) Numata Koshi (Kawasaki JPX), Film formation process.
  3. Beerwald Hans (Kalkgasse 2 5370 Kall-Sistig DEX) Bhm Gnter (Haarholzer Strasse 21 4630 Bochum DEX) Glomski Gnter (Scharnhorststrasse 2 4630 Bochum DEX), Gas-discharge method for coating the interior of electrically non-conductive pipes.
  4. Schumacher Robert W. (Woodland Hills CA) Matossian Jesse N. (Canoga Park CA) Goebel Dan M. (Tarzana CA), High impedance plasma ion implantation method and apparatus.
  5. Shohet Juda L. (Madison WI), Ion purification for plasma ion implantation.
  6. Murai Mikio (Hirakata JPX) Takahashi Kiyoshi (Ibaraki JPX) Odagiri Masaru (Kawanishi JPX) Kai Yoshiaki (Neyagawa JPX) Suzuki Takashi (Takatsuki JPX) Kunieda Toshiaki (Mino JPX), Magnetic recording medium and method for making it.
  7. Conrad John R. (Madison WI), Method and apparatus for plasma source ion implantation.
  8. Chan Chung (W. Newton MA), Method for metal ion implantation using multiple pulsed arcs.
  9. Doki Masahiko (Sagamihara JPX) Nakahira Junya (Tokyo JPX) Furumura Yuji (Yokohama JPX), Method of depositing insulating layer on underlying layer using plasma-assisted CVD process using pulse-modulated plasma.
  10. Yamazaki Shunpei (Tokyo JPX), Method of eliminating undesirable carbon product deposited on the inside of a reaction chamber.
  11. Matossian Jesse N. (Woodland Hills CA) Goebel Dan M. (Tarzana CA), Method of implanting ions from a plasma into an object.
  12. Kieser Jrg (Albstadt DEX) Neusch Michael (Hanau am Main DEX), Method of producing amorphous carbon coatings on substrates by plasma deposition.
  13. McMillan Larry D. (Colorado Springs CO) Paz de Araujo Carlos A. (Colorado Springs CO), Methods and apparatus for material deposition.
  14. Yamazaki Shunpei (Tokyo JPX), Microwave enhanced CVD method for depositing carbon.
  15. Yamazaki Shunpei (Tokyo JPX), Microwave enhanced CVD method for depositing diamond.
  16. Moslehi Mehrdad M. (Dallas TX), Multi-zone plasma processing method and apparatus.
  17. van den Berg Hendrikus (Venlo-Blerick NLX) Knig Udo (Essen DEX) Tabersky Ralf (Bottrop DEX) Blum Josef (Essen DEX), Plasma CVD process for coating a basic tool body.
  18. Asmussen Jes (Okemos MI) Reinhard Donnie K. (East Lansing MI) Dahimene Mahmoud (East Lansing MI), Plasma generating apparatus using magnets and method.
  19. Sheng Terry T. (San Jose CA), Plasma immersion ion implantation (PI3) apparatus.
  20. Doki Masahiko (Sagamihara JPX) Ooiwa Kiyoshi (Yokosuka JPX), Plasma process apparatus and plasma processing method.
  21. Ooiwa Kiyoshi (Yokosuka JPX) Doki Masahiko (Sagamihara JPX), Plasma processing apparatus.
  22. Yamazaki Shunpei (Tokyo JPX) Hayashi Shigenori (Atsugi JPX), Plasma-assisted CVD of carbonaceous films by using a bias voltage.
  23. Koinuma Hideomi (No. 17-6 ; Ogikubo 4-chome Suginami-ku ; Tokyo JPX) Fueki Kazuo (Tokyo JPX) Kawasaki Masashi (Tokyo JPX), Process for forming multilayer thin film.
  24. Heinecke Rudolf A. H. (Harlow GB2) Ojha Suresh M. (Harlow GB2) Llewellyn Ian P. (Harlow GB2), Pulsed plasma process for treating a substrate.
  25. Heinecke Rudolf A. H. (Harlow GBX) Ojha Suresh M. (Harlow GBX) Llewellyn Ian P. (Harlow GBX), Surface treatment of plastics material.

이 특허를 인용한 특허 (164)

  1. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  2. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  3. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  4. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  6. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  7. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  8. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  9. Balseanu, Mihaela; Xia, Li-Qun; Witty, Derek R; Chen, Yi, Boron film interface engineering.
  10. Balseanu, Mihaela; Bencher, Christopher D.; Chen, Yongmei; Miao, Li Yan; Nguyen, Victor; Roflox, Isabelita; Xia, Li-Qun; Witty, Derek R., Boron nitride and boron-nitride derived materials deposition method.
  11. Lubomirsky, Dmitry, Chamber with flow-through source.
  12. Lubomirsky, Dmitry, Chamber with flow-through source.
  13. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  14. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  15. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  16. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  17. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  18. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  19. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  20. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  21. Faith, Patrick, Crack embossing using diamond technology.
  22. Massler,Orlaw; Pedrazzini,Mauro; Wohlrab,Christian; Eberle,Hubert; Grischke,Martin; Michler,Thorsten, DLC layer system and method for producing said layer system.
  23. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  24. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  25. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  26. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  27. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  28. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  29. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  30. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  31. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  32. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  33. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  34. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  35. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  36. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  37. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  38. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  39. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  40. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  41. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  42. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  43. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  44. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  45. Lee Gill Yong, High density plasma CVD process for making dielectric anti-reflective coatings.
  46. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  47. Tetsuya Ishikawa ; Kaveh Niazi ; Tsutomu Tanaka ; Canfeng Lai ; Robert Duncan, High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers.
  48. Gicquel, Alix Hélène; Silva, François; Duten, Xavier; Hassouni, Khaled; Lombardi, Guillaume Vincent; Rousseau, Antoine, High-speed diamond growth using a microwave plasma in pulsed mode.
  49. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  50. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  51. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  52. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  53. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  54. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  55. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  56. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  57. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  58. Hong, Sukwon; Tran, Toan; Mallick, Abhijit; Liang, Jingmei; Ingle, Nitin K., Low shrinkage dielectric films.
  59. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  60. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  61. Narwankar, Pravin; Desai, Sameer; Zygmunt, Walter; Sahin, Turgut; Murugesh, Laxman, Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps through wafer heating.
  62. Narwankar Pravin ; Desai Sameer ; Zygmunt Walter ; Sahin Turgut ; Murugesh Laxman, Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power.
  63. Bayer,Erwin; Wagner,Henri, Method and device for hardening a metal component by plasma pulse technology.
  64. Chapek David L., Method for controlling the morphology of deposited silicon on a silicon dioxide substrate and semiconductor devices incorporating such deposited silicon.
  65. Nguyen, Victor; Chen, Yi; Balseanu, Mihaela; Roflox, Isabelita; Xia, Li-Qun; Witty, Derek R, Method for depositing boron-rich films for lithographic mask applications.
  66. Miyanaga Akiharu,JPX ; Inoue Tohru,JPX ; Yamazaki Shunpei,JPX, Method for forming a film.
  67. Yamazaki, Shunpei; Furuno, Makoto, Method for manufacturing semiconductor device.
  68. Yamazaki, Shunpei; Furuno, Makoto, Method for manufacturing semiconductor device.
  69. Beck, Thomas; Schattke, Alexander; Henke, Sascha; Schier, Veit, Method for producing a nonostructured functional coating and a coating that can be produced according to said method.
  70. Roche Gregory A. ; Harshbarger William R., Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source.
  71. Ko, Jungmin, Method of fin patterning.
  72. Tzeng, Yonhua, Method of hot-filament chemical vapor deposition of diamond.
  73. Sasaki, Yoshitaka; Inoue, Tohru, Method of manufacturing a thin-film magnetic head.
  74. Sasaki, Yoshitaka; Inoue, Tohru, Method of manufacturing a thin-film magnetic head.
  75. Sasaki, Yoshitaka; Inoue, Tohru, Method of manufacturing a thin-film magnetic head.
  76. Sasaki,Yoshitaka; Inoue,Tohru, Method of manufacturing a thin-film magnetic head.
  77. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  78. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  79. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  80. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  81. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  82. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  83. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  84. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  85. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  86. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  87. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  88. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  89. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  90. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  91. Yamazaki, Shunpei, Plasma CVD apparatus.
  92. Yamazaki, Shunpei, Plasma CVD apparatus, method for manufacturing microcrystalline semiconductor layer, and method for manufacturing thin film transistor.
  93. Furuno, Makoto; Sugiyama, Tetsuo; Nozawa, Taichi; Ichijo, Mitsuhiro; Tajima, Ryota; Yamazaki, Shunpei, Plasma processing apparatus and method for manufacturing semiconductor device.
  94. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  95. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  96. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  97. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  98. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  99. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  100. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  101. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  102. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  103. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  104. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  105. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  106. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  107. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  108. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  109. Miyanaga, Akiharu; Inoue, Tohru; Yamazaki, Shunpei, Pulsed electromagnetic energy method for forming a film.
  110. Miyanaga,Akiharu; Inoue,Tohru; Yamazaki,Shunpei, Pulsed plasma CVD method for forming a film.
  111. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  112. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  113. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  114. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  115. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  116. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  117. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  118. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  119. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  120. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  121. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  122. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  123. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  124. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  125. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  126. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  127. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  128. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  129. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  130. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  131. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  132. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  133. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  134. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  135. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  136. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  137. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  138. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  139. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  140. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  141. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  142. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  143. Chapek, David L., Semiconductor devices including a layer of polycrystalline silicon having a smooth morphology.
  144. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  145. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  146. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  147. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  148. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  149. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  150. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  151. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  152. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  153. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  154. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  155. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  156. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  157. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  158. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  159. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  160. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  161. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  162. Yang, Dongqing; Tang, Jing; Ingle, Nitin, Uniform dry etch in two stages.
  163. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  164. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로